diff --git a/python/data_recorder.py b/python/data_recorder.py index 586017b..009a2cb 100755 --- a/python/data_recorder.py +++ b/python/data_recorder.py @@ -119,10 +119,11 @@ class DataRecorder: n = self.s.recv_into(self.buffer_view[offset:offset + self.max_packet_size]) if self.write_to_disk: + # print(n) self.write_queue.put(n) offset += n - if offset >= len(self.buffer): + if offset > len(self.buffer): if self.port == 1234: print('hmmm', n, offset, len(self.buffer)) offset = offset % len(self.buffer) diff --git a/python/data_structures.py b/python/data_structures.py index 0c9ce87..c12c299 100755 --- a/python/data_structures.py +++ b/python/data_structures.py @@ -1,5 +1,5 @@ import ctypes -from ctypes import Structure, c_uint64, c_uint32, c_uint16, c_uint8, c_float +from ctypes import Structure, c_uint64, c_int64, c_uint32, c_uint16, c_uint8, c_float AXI_WRITE_REG = 1 AXI_READ_REG = 2 @@ -10,6 +10,9 @@ AXI_WRITE_REG_BURST = 6 RF_SPI_WRITE = 7 SET_AD9081_DAC_NCO = 128 SET_AD9081_ADC_NCO = 129 +SET_LANE_MAP = 130 +AD9081_REG_WRITE = 131 +AD9081_REG_READ = 132 ACK_FLAG_VALID_PACKET = 0x01 ACK_FLAG_VALID_EXECUTION = 0x02 @@ -74,8 +77,8 @@ class WriteRegType(Structure): ("data", c_uint32) ] - def __init__(self): - init_header(self, AXI_WRITE_REG) + def __init__(self, msg_id=AXI_WRITE_REG): + init_header(self, msg_id) self.address = 0 self.data = 0 @@ -114,8 +117,8 @@ class ReadRequestType(Structure): ("address", c_uint32) ] - def __init__(self): - init_header(self, AXI_READ_REG) + def __init__(self, msg_id=AXI_READ_REG): + init_header(self, msg_id) self.address = 0 @@ -136,7 +139,7 @@ class DacNcoConfigType(Structure): _fields_ = [ ("header", Header), ("channel", c_uint32), - ("frequency", c_float) + ("frequency", c_int64) ] def __init__(self): @@ -149,7 +152,7 @@ class AdcNcoConfigType(Structure): _fields_ = [ ("header", Header), ("channel", c_uint32), - ("frequency", c_float) + ("frequency", c_int64) ] def __init__(self): @@ -170,4 +173,14 @@ class RfSpiWriteType(Structure): init_header(self, RF_SPI_WRITE) self.dev_sel = 0 self.num_bits = 0 - self.data = 0 \ No newline at end of file + self.data = 0 + +class LaneMapType(Structure): + _pack_ = 1 + _fields_ = [ + ("header", Header), + ("lane_map", c_uint8 * 8) + ] + + def __init__(self): + init_header(self, SET_LANE_MAP) diff --git a/python/radar_manager.py b/python/radar_manager.py index 7c11a6f..ae5639e 100755 --- a/python/radar_manager.py +++ b/python/radar_manager.py @@ -18,8 +18,30 @@ WAVEFORM_GEN_ADDR = 0x40053000 NUM_RX = 2 -ADC_SAMPLE_RATE = 187.5e6 -DAC_SAMPLE_RATE = 187.5e6 +JESD204B = False +BASEBAND_SAMPLE_RATE = 750e6 +TIMING_ENGINE_FREQ = BASEBAND_SAMPLE_RATE / 4 +# ADC_SAMPLE_RATE = 187.5e6 +# DAC_SAMPLE_RATE = 187.5e6 +f_dac = 9e9 +f_adc = 3e9 + +# ADC_SAMPLE_RATE = 225e6 +# DAC_SAMPLE_RATE = 225e6 +# f_dac = 10.8e9 +# f_adc = 3.6e9 + +# JESD204B = True +# # ADC_SAMPLE_RATE = 250e6 +# # DAC_SAMPLE_RATE = 250e6 +# # f_dac = 12e9 +# # f_adc = 4e9 +# ADC_SAMPLE_RATE = 187.5e6 +# DAC_SAMPLE_RATE = 187.5e6 +# f_dac = 9e9 +# f_adc = 3e9 + + def form_chirp(pulsewidth, bw, sample_rate, win=None, ): @@ -160,11 +182,32 @@ class RadarManager: return resp.data + def ad9081_write_reg(self, address, data): + # Form message + msg = msg_types.WriteRegType(msg_id=msg_types.AD9081_REG_WRITE) + msg.address = address + msg.data = data + + self.send_message(msg) + return + + def ad9081_read_reg(self, address): + # Form message + msg = msg_types.ReadRequestType(msg_id=msg_types.AD9081_REG_READ) + msg.address = address + self.send_message(msg, enable_ack=False, wait_for_ack=False) + + # Get response + recv_bytes, _ = self.get_response(ctypes.sizeof(msg_types.ReadResponseType)) + resp = msg_types.ReadResponseType.from_buffer_copy(recv_bytes) + + return resp.data + def set_dac_nco(self, channel, frequency): # Form message msg = msg_types.DacNcoConfigType() msg.channel = channel - msg.frequency = frequency + msg.frequency = int(frequency) self.send_message(msg) @@ -174,7 +217,17 @@ class RadarManager: # Form message msg = msg_types.AdcNcoConfigType() msg.channel = channel - msg.frequency = frequency + msg.frequency = int(frequency) + + self.send_message(msg) + + return + + def set_lane_mapping(self, lane_map): + # Form message + msg = msg_types.LaneMapType() + for i in range(8): + msg.lane_map[i] = lane_map[i] self.send_message(msg) @@ -249,8 +302,12 @@ class RadarManager: def setup_rx(self, num_samples, start_sample): for i in range(NUM_RX): - self.axi_write_register(DIG_RX_ADDR + i*DIG_RX_STRIDE + 0x4, num_samples >> 2) - self.axi_write_register(DIG_RX_ADDR + i*DIG_RX_STRIDE + 0x8, start_sample >> 2) + if JESD204B: + self.axi_write_register(DIG_RX_ADDR + i*DIG_RX_STRIDE + 0x4, num_samples >> 1) + self.axi_write_register(DIG_RX_ADDR + i*DIG_RX_STRIDE + 0x8, start_sample >> 1) + else: + self.axi_write_register(DIG_RX_ADDR + i*DIG_RX_STRIDE + 0x4, num_samples >> 2) + self.axi_write_register(DIG_RX_ADDR + i*DIG_RX_STRIDE + 0x8, start_sample >> 2) # Setup RX Strobe # self.axi_write_register(TIMING_ENGINE_ADDR + 0x88 + i * 8, start_sample >> 2) @@ -259,8 +316,12 @@ class RadarManager: self.axi_write_register(TIMING_ENGINE_ADDR + 0x88 + i * 8, 0x1FFFFFFF) def setup_tx(self, num_samples, start_sample): - self.axi_write_register(WAVEFORM_GEN_ADDR + 0x4, num_samples >> 2) - self.axi_write_register(WAVEFORM_GEN_ADDR + 0x8, start_sample >> 2) + if JESD204B: + self.axi_write_register(WAVEFORM_GEN_ADDR + 0x4, num_samples >> 1) + self.axi_write_register(WAVEFORM_GEN_ADDR + 0x8, start_sample >> 1) + else: + self.axi_write_register(WAVEFORM_GEN_ADDR + 0x4, num_samples >> 2) + self.axi_write_register(WAVEFORM_GEN_ADDR + 0x8, start_sample >> 2) # Setup TX Strobe # self.axi_write_register(TIMING_ENGINE_ADDR + 0x80, start_sample >> 2) @@ -298,21 +359,33 @@ class RadarManager: self.load_waveform(0, 1, 0.1, tx_num_samples) self.load_waveform(1, 1, 0.1, tx_num_samples) + num_samples_quant = int(self.packet_size / 4) + if num_samples % num_samples_quant > 0: + print('Packet Size Invalid') + num_samples -= (num_samples % num_samples_quant) + if num_samples == 0: + num_samples = num_samples_quant + print('Updated num samples to', num_samples) + rf_atten = [1, 2, 3, 4, 5, 6] self.setup_rf_attenuators(rf_atten) - # DAC at 5.25 GHz is in second nyquist - # ADC would be in 3rd nyquist - f_dac = 9e9 - f_adc = 3e9 - tx_lo = 5.25e9 % f_dac - rx_lo = 5.25e9 % f_adc + adc_nco = 5e9 % f_adc + dac_nco = 5.001e9 % f_dac + + # adc_nco = 2e9 + # adc_nyquist_zone = np.floor(adc_nco / (f_adc / 2)) + # adc_nco = adc_nco % f_adc + # if adc_nyquist_zone % 2: + # # In even nyquist + # adc_nco -= f_adc + + print(adc_nco) + for i in range(4): - self.set_adc_nco(i, rx_lo) - self.set_dac_nco(0, tx_lo) - self.set_dac_nco(1, tx_lo) - self.set_dac_nco(2, tx_lo + tx_lo_offset) - self.set_dac_nco(3, tx_lo + rx_lo_offset) + self.set_adc_nco(i, adc_nco) + self.set_dac_nco(i, dac_nco) + self.setup_timing_engine(pri, num_pulses, inter_cpi) self.setup_rx(num_samples, start_sample) self.setup_tx(tx_num_samples, tx_start_sample) diff --git a/python/read_data_file.py b/python/read_data_file.py index c04fbf5..c82b185 100755 --- a/python/read_data_file.py +++ b/python/read_data_file.py @@ -58,6 +58,7 @@ def main(): file_size = os.path.getsize(file) expected_num_cpis = int(file_size / (ctypes.sizeof(data_structures.CpiHeader) + data_size)) + print('File Size', file_size) print('Expected CPIS:', expected_num_cpis) @@ -92,6 +93,7 @@ def main(): plt.figure() plt.plot(np.diff(cpi_times)) + plt.ylim([0, .02]) plt.figure() plt.plot(iq.T.real, '.-') diff --git a/python/test_cpi.py b/python/test_cpi.py index 322c082..f135805 100755 --- a/python/test_cpi.py +++ b/python/test_cpi.py @@ -28,15 +28,29 @@ def db20n(x): def main(): print('Hello') - clk = 187.5e6 + radar = radar_manager.RadarManager() + + clk = radar_manager.TIMING_ENGINE_FREQ + + freqs = np.array([16, 21, 13, 3.25, 3.5, 5, 2])*1e9 + pri_lsb = 16e-9 + print(freqs * pri_lsb) + + # Test AD9081 Reg Access + print(hex(radar.ad9081_read_reg(0x0A0A))) + radar.ad9081_write_reg(0x0A0A, 0x60) + print(hex(radar.ad9081_read_reg(0x0A0A))) + # CPI Parameters (timing values are in clk ticks) num_pulses = 128 - num_samples = 8192 - start_sample = 0 + # Should be multiple of udp packet size, currently 4096 bytes, or 1024 samples + num_samples = 5000 + start_sample = 2000 tx_num_samples = 1024 tx_start_sample = start_sample - pri = int(.001 * clk) + pri = int(.0004 * clk) + print(pri) inter_cpi = 50 tx_lo_offset = 10e6 rx_lo_offset = 0 @@ -46,7 +60,7 @@ def main(): print('PRI', pri_float, 'PRF', 1 / pri_float) print('Expected Data Rate', num_samples * 4 / pri_float / 1e6) - radar = radar_manager.RadarManager() + recorder0 = DataRecorder("192.168.2.128", 1234, packet_size=radar.packet_size) recorder1 = DataRecorder("192.168.3.128", 1235, packet_size=radar.packet_size) @@ -59,7 +73,7 @@ def main(): print('Start Running') radar.start_running() # Let it run for a bit - time.sleep(5) + time.sleep(2) # Stop running radar.stop_running() # Stop the data recorder @@ -84,12 +98,14 @@ def main(): else: offset += 4 - num_cpi = 16 + num_cpi = 1 for i in range(num_cpi): # Get Header data = plot_recorder.buffer[offset:offset + ctypes.sizeof(data_structures.CpiHeader)] offset += ctypes.sizeof(data_structures.CpiHeader) headers.append(data_structures.CpiHeader.from_buffer_copy(data)) + num_pulses = headers[i].num_pulses + num_samples = headers[i].num_samples # Get CPI data_size = num_pulses * num_samples * 4 @@ -117,15 +133,21 @@ def main(): vmin = -60 vmax = 0 - fid, axs = plt.subplots(2) - axs[0].plot(iq.T.real, '.-') - axs[0].plot(iq.T.imag, '--.') + fid, axs = plt.subplots(3) + axs[0].plot(iq.T.real, '-') + axs[0].plot(iq.T.imag, '--') axs[0].grid() - axs[1].imshow(db20n(iq), aspect='auto', interpolation='nearest', vmin=vmin, vmax=vmax) + # axs[1].imshow(db20n(iq), aspect='auto', interpolation='nearest', vmin=vmin, vmax=vmax) + axs[1].imshow(iq.real, aspect='auto', interpolation='nearest') axs[1].set_ylabel('Pulse Count') axs[1].set_xlabel('Sample Count') + iq_freq = np.fft.fftshift(np.fft.fft(iq, axis=1), axes=1) + freq_axis = (np.arange(num_samples)/num_samples - 0.5) * radar_manager.BASEBAND_SAMPLE_RATE / 1e6 + axs[2].plot(freq_axis, db20n(iq_freq.T)) + axs[2].grid() + plt.show() diff --git a/radar_alinx_kintex.srcs/constrs_1/new/constraints.xdc b/radar_alinx_kintex.srcs/constrs_1/new/constraints.xdc index f5de7aa..df5cccd 100755 --- a/radar_alinx_kintex.srcs/constrs_1/new/constraints.xdc +++ b/radar_alinx_kintex.srcs/constrs_1/new/constraints.xdc @@ -231,11 +231,18 @@ set_property PACKAGE_PIN A25 [get_ports fmc_spi0_miso] set_property PACKAGE_PIN B27 [get_ports fmc_spi0_sck] set_property PACKAGE_PIN B25 [get_ports fmc_spi0_ss] +set_property PULLUP TRUE [get_ports fmc_spi0_mosi] +set_property PULLUP TRUE [get_ports fmc_spi0_miso] +set_property PULLUP TRUE [get_ports fmc_spi0_sck] + set_property PACKAGE_PIN C22 [get_ports fmc_spi1_mosi] set_property PACKAGE_PIN D20 [get_ports fmc_spi1_sck] set_property PACKAGE_PIN C21 [get_ports fmc_spi1_ss] set_property PACKAGE_PIN F27 [get_ports resetb] +set_property PULLUP TRUE [get_ports fmc_spi1_mosi] +set_property PULLUP TRUE [get_ports fmc_spi1_sck] + set_property IOSTANDARD LVCMOS18 [get_ports fmc_spi0_mosi] set_property IOSTANDARD LVCMOS18 [get_ports fmc_spi0_miso] set_property IOSTANDARD LVCMOS18 [get_ports fmc_spi0_sck] @@ -252,24 +259,42 @@ set_property IOSTANDARD LVDS [get_ports jesd_sysref_p] set_property DIFF_TERM_ADV TERM_100 [get_ports jesd_sysref_p] set_property DQS_BIAS TRUE [get_ports jesd_sysref_p] set_property DQS_BIAS TRUE [get_ports jesd_sysref_n] +create_clock -period 64.000 -name jesd_sysref [get_ports jesd_sysref_p] + + +set_property PACKAGE_PIN E28 [get_ports jesd_sync_in_p] +set_property PACKAGE_PIN D29 [get_ports jesd_sync_in_n] +set_property IOSTANDARD LVDS [get_ports jesd_sync_in_p] +set_property DIFF_TERM_ADV TERM_100 [get_ports jesd_sync_in_p] + +set_property PACKAGE_PIN E22 [get_ports jesd_sync_out_p] +set_property PACKAGE_PIN E23 [get_ports jesd_sync_out_n] +set_property IOSTANDARD LVDS [get_ports jesd_sync_out_p] set_property PACKAGE_PIN K5 [get_ports jesd_qpll0_refclk_n] set_property PACKAGE_PIN K6 [get_ports jesd_qpll0_refclk_p] create_clock -period 5.333 -name jesd_qpll_refclk [get_ports jesd_qpll0_refclk_p] +#create_clock -period 4.0 -name jesd_qpll_refclk [get_ports jesd_qpll0_refclk_p] #set_property PACKAGE_PIN P5 [get_ports jesd_qpll0_refclk_n] #set_property PACKAGE_PIN P6 [get_ports jesd_qpll0_refclk_p] -set_property PACKAGE_PIN G10 [get_ports jesd_core_clk_p] -set_property PACKAGE_PIN F10 [get_ports jesd_core_clk_n] - -#set_property PACKAGE_PIN D24 [get_ports jesd_core_clk_p] -#set_property PACKAGE_PIN C24 [get_ports jesd_core_clk_n] +# Works with the board at my house +#set_property PACKAGE_PIN G10 [get_ports jesd_core_clk_p] +#set_property PACKAGE_PIN F10 [get_ports jesd_core_clk_n] +#set_property IOSTANDARD LVDS [get_ports jesd_core_clk_p] +#set_property DQS_BIAS TRUE [get_ports jesd_core_clk_p] +#set_property DQS_BIAS TRUE [get_ports jesd_core_clk_n] +#create_clock -period 5.333 -name jesd_core_clk [get_ports jesd_core_clk_p] +# Works with the board Chris has +set_property PACKAGE_PIN D24 [get_ports jesd_core_clk_p] +set_property PACKAGE_PIN C24 [get_ports jesd_core_clk_n] set_property IOSTANDARD LVDS [get_ports jesd_core_clk_p] set_property DQS_BIAS TRUE [get_ports jesd_core_clk_p] set_property DQS_BIAS TRUE [get_ports jesd_core_clk_n] -create_clock -period 5.333 -name jesd_core_clk [get_ports jesd_core_clk_n] +create_clock -period 5.333 -name jesd_core_clk [get_ports jesd_core_clk_p] +#create_clock -period 4.0 -name jesd_core_clk [get_ports jesd_core_clk_p] #set_property PACKAGE_PIN F2 [get_ports {jesd_rxp_in[0]}] #set_property PACKAGE_PIN H2 [get_ports {jesd_rxp_in[1]}] @@ -424,34 +449,3 @@ set_property PACKAGE_PIN AE23 [get_ports {ddr_dq[7]}] - - -connect_debug_port u_ila_0/probe1 [get_nets [list pps_q2]] -connect_debug_port u_ila_0/probe3 [get_nets [list pps_red_i_1__0_n_0]] - - - -connect_debug_port u_ila_0/probe4 [get_nets [list util_reg_i/spi_active]] -connect_debug_port u_ila_0/probe5 [get_nets [list util_reg_i/spi_shift_data]] -connect_debug_port u_ila_0/probe10 [get_nets [list util_reg_i/le_active]] - - - -create_debug_core u_ila_0 ila -set_property ALL_PROBE_SAME_MU true [get_debug_cores u_ila_0] -set_property ALL_PROBE_SAME_MU_CNT 1 [get_debug_cores u_ila_0] -set_property C_ADV_TRIGGER false [get_debug_cores u_ila_0] -set_property C_DATA_DEPTH 2048 [get_debug_cores u_ila_0] -set_property C_EN_STRG_QUAL false [get_debug_cores u_ila_0] -set_property C_INPUT_PIPE_STAGES 0 [get_debug_cores u_ila_0] -set_property C_TRIGIN_EN false [get_debug_cores u_ila_0] -set_property C_TRIGOUT_EN false [get_debug_cores u_ila_0] -set_property port_width 1 [get_debug_ports u_ila_0/clk] -connect_debug_port u_ila_0/clk [get_nets [list jesd_core_clk]] -set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe0] -set_property port_width 1 [get_debug_ports u_ila_0/probe0] -connect_debug_port u_ila_0/probe0 [get_nets [list microblaze_bd_i/jesd/util_ds_buf_1_IBUF_OUT]] -set_property C_CLK_INPUT_FREQ_HZ 300000000 [get_debug_cores dbg_hub] -set_property C_ENABLE_CLK_DIVIDER false [get_debug_cores dbg_hub] -set_property C_USER_SCAN_CHAIN 1 [get_debug_cores dbg_hub] -connect_debug_port dbg_hub/clk [get_nets clk] diff --git a/radar_alinx_kintex.srcs/sources_1/bd/microblaze_bd/microblaze_bd.bd b/radar_alinx_kintex.srcs/sources_1/bd/microblaze_bd/microblaze_bd.bd index 94131f1..8311535 100755 --- a/radar_alinx_kintex.srcs/sources_1/bd/microblaze_bd/microblaze_bd.bd +++ b/radar_alinx_kintex.srcs/sources_1/bd/microblaze_bd/microblaze_bd.bd @@ -1,8 +1,8 @@ { "design": { "design_info": { - "boundary_crc": "0xF42ED0D8FE15BA23", - "device": "xcku040-ffva1156-1-c", + "boundary_crc": "0xD9A446DC95B09871", + "device": "xcku040-ffva1156-2-i", "gen_directory": "../../../../radar_alinx_kintex.gen/sources_1/bd/microblaze_bd", "name": "microblaze_bd", "rev_ctrl_bd_flag": "RevCtrlBdOff", @@ -74,7 +74,8 @@ "m09_couplers": {}, "m10_couplers": {}, "m11_couplers": {}, - "m12_couplers": {} + "m12_couplers": {}, + "m13_couplers": {} }, "axi_quad_spi_0": "", "axi_quad_spi_1": "", @@ -609,7 +610,7 @@ "value_src": "default" }, "FREQ_HZ": { - "value": "187500000" + "value": "250000000" }, "HAS_TKEEP": { "value": "0", @@ -679,7 +680,7 @@ "value_src": "default" }, "FREQ_HZ": { - "value": "187500000" + "value": "250000000" }, "HAS_TKEEP": { "value": "0", @@ -759,7 +760,7 @@ "value_src": "default" }, "FREQ_HZ": { - "value": "187500000" + "value": "250000000" }, "HAS_TKEEP": { "value": "0" @@ -820,7 +821,7 @@ "value_src": "default" }, "FREQ_HZ": { - "value": "187500000" + "value": "250000000" }, "HAS_TKEEP": { "value": "0" @@ -2666,7 +2667,7 @@ "value_src": "default" }, "FREQ_HZ": { - "value": "187500000" + "value": "250000000" }, "FREQ_TOLERANCE_HZ": { "value": "0", @@ -2745,6 +2746,12 @@ "direction": "I", "left": "0", "right": "0" + }, + "jesd_tx_sync": { + "direction": "I" + }, + "jesd_rx_sync": { + "direction": "O" } }, "components": { @@ -3034,6 +3041,12 @@ }, "jesd_rx_sys_reset": { "direction": "I" + }, + "jesd_tx_sync": { + "direction": "I" + }, + "jesd_rx_sync": { + "direction": "O" } }, "components": { @@ -3126,6 +3139,9 @@ "AXICLK_FREQ": { "value": "150.0" }, + "C_ENCODING": { + "value": "1" + }, "C_LANES": { "value": "8" }, @@ -3135,6 +3151,9 @@ "C_PLL_SELECTION": { "value": "1" }, + "C_USE_FEC": { + "value": "true" + }, "DRPCLK_FREQ": { "value": "187.5" }, @@ -3176,12 +3195,18 @@ "AXICLK_FREQ": { "value": "150" }, + "C_ENCODING": { + "value": "1" + }, "C_LANES": { "value": "8" }, "C_PLL_SELECTION": { "value": "1" }, + "C_USE_FEC": { + "value": "true" + }, "DRPCLK_FREQ": { "value": "187.5" }, @@ -3358,6 +3383,12 @@ "jesd204_phy_0/gt7_tx" ] }, + "jesd_axis_tx_cmd_1": { + "interface_ports": [ + "jesd_axis_tx_cmd", + "jesd204c_1/s_axis_tx_cmd" + ] + }, "microblaze_0_axi_periph_M07_AXI": { "interface_ports": [ "s_axi_rx", @@ -3381,12 +3412,6 @@ "jesd_axis_tx", "jesd204c_1/s_axis_tx" ] - }, - "s_axis_tx_cmd_0_1": { - "interface_ports": [ - "jesd_axis_tx_cmd", - "jesd204c_1/s_axis_tx_cmd" - ] } }, "nets": { @@ -3985,8 +4010,8 @@ "components": { "xbar": { "vlnv": "xilinx.com:ip:axi_crossbar:2.1", - "xci_name": "microblaze_bd_xbar_3", - "xci_path": "ip/microblaze_bd_xbar_3/microblaze_bd_xbar_3.xci", + "xci_name": "microblaze_bd_xbar_6", + "xci_path": "ip/microblaze_bd_xbar_6/microblaze_bd_xbar_6.xci", "inst_hier_path": "axi_interconnect_0/xbar", "parameters": { "NUM_MI": { @@ -4969,7 +4994,7 @@ "xci_name": "microblaze_bd_axi_interconnect_1_0", "parameters": { "NUM_MI": { - "value": "13" + "value": "14" } }, "interface_ports": { @@ -5042,6 +5067,11 @@ "mode": "Master", "vlnv_bus_definition": "xilinx.com:interface:aximm:1.0", "vlnv": "xilinx.com:interface:aximm_rtl:1.0" + }, + "M13_AXI": { + "mode": "Master", + "vlnv_bus_definition": "xilinx.com:interface:aximm:1.0", + "vlnv": "xilinx.com:interface:aximm_rtl:1.0" } }, "ports": { @@ -5281,17 +5311,33 @@ "M12_ARESETN": { "type": "rst", "direction": "I" + }, + "M13_ACLK": { + "type": "clk", + "direction": "I", + "parameters": { + "ASSOCIATED_BUSIF": { + "value": "M13_AXI" + }, + "ASSOCIATED_RESET": { + "value": "M13_ARESETN" + } + } + }, + "M13_ARESETN": { + "type": "rst", + "direction": "I" } }, "components": { "xbar": { "vlnv": "xilinx.com:ip:axi_crossbar:2.1", - "xci_name": "microblaze_bd_xbar_4", - "xci_path": "ip/microblaze_bd_xbar_4/microblaze_bd_xbar_4.xci", + "xci_name": "microblaze_bd_xbar_7", + "xci_path": "ip/microblaze_bd_xbar_7/microblaze_bd_xbar_7.xci", "inst_hier_path": "axi_interconnect_1/xbar", "parameters": { "NUM_MI": { - "value": "13" + "value": "14" }, "NUM_SI": { "value": "1" @@ -5317,7 +5363,8 @@ "M09_AXI", "M10_AXI", "M11_AXI", - "M12_AXI" + "M12_AXI", + "M13_AXI" ] } } @@ -6105,6 +6152,62 @@ ] } } + }, + "m13_couplers": { + "interface_ports": { + "M_AXI": { + "mode": "Master", + "vlnv_bus_definition": "xilinx.com:interface:aximm:1.0", + "vlnv": "xilinx.com:interface:aximm_rtl:1.0" + }, + "S_AXI": { + "mode": "Slave", + "vlnv_bus_definition": "xilinx.com:interface:aximm:1.0", + "vlnv": "xilinx.com:interface:aximm_rtl:1.0" + } + }, + "ports": { + "M_ACLK": { + "type": "clk", + "direction": "I", + "parameters": { + "ASSOCIATED_BUSIF": { + "value": "M_AXI" + }, + "ASSOCIATED_RESET": { + "value": "M_ARESETN" + } + } + }, + "M_ARESETN": { + "type": "rst", + "direction": "I" + }, + "S_ACLK": { + "type": "clk", + "direction": "I", + "parameters": { + "ASSOCIATED_BUSIF": { + "value": "S_AXI" + }, + "ASSOCIATED_RESET": { + "value": "S_ARESETN" + } + } + }, + "S_ARESETN": { + "type": "rst", + "direction": "I" + } + }, + "interface_nets": { + "m13_couplers_to_m13_couplers": { + "interface_ports": [ + "S_AXI", + "M_AXI" + ] + } + } } }, "interface_nets": { @@ -6192,6 +6295,12 @@ "m12_couplers/M_AXI" ] }, + "m13_couplers_to_axi_interconnect_1": { + "interface_ports": [ + "M13_AXI", + "m13_couplers/M_AXI" + ] + }, "s00_couplers_to_xbar": { "interface_ports": [ "s00_couplers/M_AXI", @@ -6275,6 +6384,12 @@ "xbar/M12_AXI", "m12_couplers/S_AXI" ] + }, + "xbar_to_m13_couplers": { + "interface_ports": [ + "xbar/M13_AXI", + "m13_couplers/S_AXI" + ] } }, "nets": { @@ -6297,6 +6412,7 @@ "m10_couplers/M_ACLK", "m11_couplers/M_ACLK", "m12_couplers/M_ACLK", + "m13_couplers/M_ACLK", "m00_couplers/S_ACLK", "m01_couplers/S_ACLK", "m02_couplers/S_ACLK", @@ -6309,7 +6425,8 @@ "m09_couplers/S_ACLK", "m10_couplers/S_ACLK", "m11_couplers/S_ACLK", - "m12_couplers/S_ACLK" + "m12_couplers/S_ACLK", + "m13_couplers/S_ACLK" ] }, "axi_interconnect_1_ARESETN_net": { @@ -6331,6 +6448,7 @@ "m10_couplers/M_ARESETN", "m11_couplers/M_ARESETN", "m12_couplers/M_ARESETN", + "m13_couplers/M_ARESETN", "m00_couplers/S_ARESETN", "m01_couplers/S_ARESETN", "m02_couplers/S_ARESETN", @@ -6343,7 +6461,8 @@ "m09_couplers/S_ARESETN", "m10_couplers/S_ARESETN", "m11_couplers/S_ARESETN", - "m12_couplers/S_ARESETN" + "m12_couplers/S_ARESETN", + "m13_couplers/S_ARESETN" ] } } @@ -6583,7 +6702,18 @@ "vlnv": "xilinx.com:ip:mdm:3.2", "xci_name": "microblaze_bd_mdm_1_0", "xci_path": "ip/microblaze_bd_mdm_1_0/microblaze_bd_mdm_1_0.xci", - "inst_hier_path": "mdm_1" + "inst_hier_path": "mdm_1", + "parameters": { + "C_ADDR_SIZE": { + "value": "32" + }, + "C_M_AXI_ADDR_WIDTH": { + "value": "32" + }, + "C_USE_UART": { + "value": "1" + } + } }, "microblaze_0": { "vlnv": "xilinx.com:ip:microblaze:11.0", @@ -7104,8 +7234,8 @@ "components": { "xbar": { "vlnv": "xilinx.com:ip:axi_crossbar:2.1", - "xci_name": "microblaze_bd_xbar_5", - "xci_path": "ip/microblaze_bd_xbar_5/microblaze_bd_xbar_5.xci", + "xci_name": "microblaze_bd_xbar_8", + "xci_path": "ip/microblaze_bd_xbar_8/microblaze_bd_xbar_8.xci", "inst_hier_path": "microblaze_0_axi_periph/xbar", "parameters": { "NUM_MI": { @@ -8429,6 +8559,12 @@ "axi_iic_0/S_AXI" ] }, + "axi_interconnect_1_M13_AXI": { + "interface_ports": [ + "axi_interconnect_1/M13_AXI", + "mdm_1/S_AXI" + ] + }, "axi_quad_spi_0_SPI_0": { "interface_ports": [ "fmc_spi0", @@ -8758,9 +8894,9 @@ "axi_ethernet_0_dma/m_axi_s2mm_aclk", "axi_interconnect_0/ACLK", "axi_interconnect_0/S00_ACLK", - "axi_interconnect_0/M00_ACLK", "axi_interconnect_0/S01_ACLK", "axi_interconnect_0/S02_ACLK", + "axi_interconnect_0/M00_ACLK", "rst_ddr/slowest_sync_clk" ] }, @@ -8825,6 +8961,12 @@ "common1_qpll1_lock_out" ] }, + "jesd_rx_sync_0": { + "ports": [ + "jesd/jesd_rx_sync", + "jesd_rx_sync" + ] + }, "mdm_1_debug_sys_rst": { "ports": [ "mdm_1/Debug_SYS_Rst", @@ -8885,7 +9027,9 @@ "microblaze_0_axi_periph/M09_ACLK", "microblaze_0_axi_periph/M10_ACLK", "rst_150/slowest_sync_clk", - "system_management_wiz_0/s_axi_aclk" + "system_management_wiz_0/s_axi_aclk", + "axi_interconnect_1/M13_ACLK", + "mdm_1/S_AXI_ACLK" ] }, "microblaze_0_intr": { @@ -8959,7 +9103,9 @@ "microblaze_0_axi_periph/M08_ARESETN", "microblaze_0_axi_periph/M09_ARESETN", "microblaze_0_axi_periph/M10_ARESETN", - "system_management_wiz_0/s_axi_aresetn" + "system_management_wiz_0/s_axi_aresetn", + "axi_interconnect_1/M13_ARESETN", + "mdm_1/S_AXI_ARESETN" ] }, "rst_ddr_mb_reset": { @@ -8973,9 +9119,9 @@ "rst_ddr/peripheral_aresetn", "axi_interconnect_0/ARESETN", "axi_interconnect_0/S00_ARESETN", - "axi_interconnect_0/M00_ARESETN", "axi_interconnect_0/S01_ARESETN", "axi_interconnect_0/S02_ARESETN", + "axi_interconnect_0/M00_ARESETN", "ddr4_0/c0_ddr4_aresetn" ] }, @@ -9033,6 +9179,12 @@ "jesd/jesd_tx_core_reset" ] }, + "tx_sync_0_1": { + "ports": [ + "jesd_tx_sync", + "jesd/jesd_tx_sync" + ] + }, "tx_sys_reset_0_1": { "ports": [ "jesd_tx_sys_reset", @@ -9237,6 +9389,11 @@ "offset": "0x40070000", "range": "64K" }, + "SEG_mdm_1_Reg": { + "address_block": "/mdm_1/S_AXI/Reg", + "offset": "0x41400000", + "range": "4K" + }, "SEG_microblaze_0_axi_intc_Reg": { "address_block": "/microblaze_0_axi_intc/S_AXI/Reg", "offset": "0x40010000", diff --git a/radar_alinx_kintex.srcs/sources_1/hdl/digital_rx_chain.v b/radar_alinx_kintex.srcs/sources_1/hdl/digital_rx_chain.v index bad665b..5fef4c9 100755 --- a/radar_alinx_kintex.srcs/sources_1/hdl/digital_rx_chain.v +++ b/radar_alinx_kintex.srcs/sources_1/hdl/digital_rx_chain.v @@ -9,7 +9,9 @@ module digital_rx_chain # parameter START_SAMPLE_REG_ADDR = 32'h00000008, parameter integer AXI_ADDR_WIDTH = 32, - parameter integer AXI_DATA_WIDTH = 32 + parameter integer AXI_DATA_WIDTH = 32, + parameter integer JESD_DATA_WIDTH = 64 +// parameter integer JESD_DATA_WIDTH = 32 ) ( input wire clk, @@ -21,8 +23,8 @@ module digital_rx_chain # // Input Data input wire in_tvalid, - input wire [63:0] in_tdata_i, - input wire [63:0] in_tdata_q, + input wire [JESD_DATA_WIDTH-1:0] in_tdata_i, + input wire [JESD_DATA_WIDTH-1:0] in_tdata_q, // Output Data axi4s_intf.master rx_out @@ -38,8 +40,8 @@ wire out_tstart_r; // ------------------------------ reg in_tstart_reg; reg in_tvalid_reg; -reg [63:0] in_tdata_i_reg; -reg [63:0] in_tdata_q_reg; +reg [JESD_DATA_WIDTH-1:0] in_tdata_i_reg; +reg [JESD_DATA_WIDTH-1:0] in_tdata_q_reg; always @ (posedge clk) begin in_tstart_reg <= start_of_pulse; in_tvalid_reg <= in_tvalid; @@ -212,7 +214,6 @@ always @ (posedge clk) begin pulse_active_fed <= ~pulse_active && pulse_active_q; pulse_active_fed_q <= pulse_active_fed; - // if (in_tstart_reg && in_tvalid_reg) begin if (delay_active_fed && in_tvalid_reg) begin sample_cnt <= 0; pulse_active <= 1; @@ -236,7 +237,7 @@ assign out_tvalid_r = in_tvalid_reg && (pulse_active || delay_active_fed); // Buffer // ------------------------------ axi4s_intf # ( - .AXI_DATA_WIDTH(128), + .AXI_DATA_WIDTH(JESD_DATA_WIDTH * 2), .AXI_USER_WIDTH(1) ) axis_odec_out ( @@ -245,7 +246,7 @@ axi4s_intf # ( ); axi4s_intf # ( - .AXI_DATA_WIDTH(128), + .AXI_DATA_WIDTH(JESD_DATA_WIDTH * 2), .AXI_USER_WIDTH(1) ) axis_pulse_buffer_out ( @@ -266,6 +267,7 @@ assign axis_odec_out.tvalid = out_tvalid_r && (pulse_active || delay_active_fed) assign axis_odec_out.tlast = out_tlast_r; assign axis_odec_out.tuser = out_tstart_r; +//204C assign axis_odec_out.tdata[15:0] = in_tdata_i_reg[63:48]; assign axis_odec_out.tdata[31:16] = in_tdata_q_reg[63:48]; assign axis_odec_out.tdata[47:32] = in_tdata_i_reg[47:32]; @@ -275,18 +277,6 @@ assign axis_odec_out.tdata[95:80] = in_tdata_q_reg[31:16]; assign axis_odec_out.tdata[111:96] = in_tdata_i_reg[15:0]; assign axis_odec_out.tdata[127:112] = in_tdata_q_reg[15:0]; -//assign axis_odec_out.tdata[15:0] = in_tdata_i_reg[15:0]; -//assign axis_odec_out.tdata[31:16] = in_tdata_q_reg[15:0]; -//assign axis_odec_out.tdata[47:32] = in_tdata_i_reg[31:16]; -//assign axis_odec_out.tdata[63:48] = in_tdata_q_reg[31:16]; -//assign axis_odec_out.tdata[79:64] = in_tdata_i_reg[47:32]; -//assign axis_odec_out.tdata[95:80] = in_tdata_q_reg[47:32]; -//assign axis_odec_out.tdata[111:96] = in_tdata_i_reg[63:48]; -//assign axis_odec_out.tdata[127:112] = in_tdata_q_reg[63:48]; - -// assign axis_odec_out.tdata[63:0] = in_tdata_i_reg[63:0]; -// assign axis_odec_out.tdata[127:64] = in_tdata_q_reg[63:0]; - pulse_buffer_fifo pulse_buffer_fifo_i ( .s_axis_aresetn(~reset), .s_axis_aclk(clk), @@ -345,8 +335,33 @@ dig_rx_clock_converter dig_rx_clock_converter_i ( .m_axis_tuser(rx_out.tuser) ); + +//204B +//assign axis_odec_out.tdata[15:0] = in_tdata_i_reg[31:16]; +//assign axis_odec_out.tdata[31:16] = in_tdata_q_reg[31:16]; +//assign axis_odec_out.tdata[47:32] = in_tdata_i_reg[15:0]; +//assign axis_odec_out.tdata[63:48] = in_tdata_q_reg[15:0]; + + +//pulse_buffer_204B_fifo pulse_buffer_fifo_i ( +// .s_axis_aresetn(~reset), +// .s_axis_aclk(clk), + +// .s_axis_tvalid(axis_odec_out.tvalid), +// .s_axis_tready(), +// .s_axis_tdata(axis_odec_out.tdata), +// .s_axis_tlast(axis_odec_out.tlast), +// .s_axis_tuser(axis_odec_out.tuser), + +// .m_axis_aclk(rx_out.clk), +// .m_axis_tvalid(rx_out.tvalid), +// .m_axis_tready(rx_out.tready), +// .m_axis_tdata(rx_out.tdata), +// .m_axis_tlast(rx_out.tlast), +// .m_axis_tuser(rx_out.tuser) +//); + assign rx_out.tkeep = '1; -// assign rx_out.tuser = reg_num_samples; assign rx_out.tdest = 1; endmodule diff --git a/radar_alinx_kintex.srcs/sources_1/hdl/top.v b/radar_alinx_kintex.srcs/sources_1/hdl/top.v index 46bbddc..0ede5c1 100755 --- a/radar_alinx_kintex.srcs/sources_1/hdl/top.v +++ b/radar_alinx_kintex.srcs/sources_1/hdl/top.v @@ -115,8 +115,16 @@ module top # input wire jesd_sysref_p, input wire jesd_sysref_n, + input wire jesd_sync_in_p, + input wire jesd_sync_in_n, + + output wire jesd_sync_out_p, + output wire jesd_sync_out_n, + input wire jesd_core_clk_p, input wire jesd_core_clk_n, +// input wire jesd_core_clk2_p, +// input wire jesd_core_clk2_n, input wire jesd_qpll0_refclk_p, input wire jesd_qpll0_refclk_n, @@ -168,20 +176,26 @@ module top # wire jesd_axis_rx_cmd_tready; wire [7:0]jesd_axis_rx_cmd_tuser; wire jesd_axis_rx_cmd_tvalid; - wire [511:0]jesd_axis_rx_tdata; wire jesd_axis_rx_tvalid; wire [255:0]jesd_axis_tx_cmd_tdata; wire jesd_axis_tx_cmd_tready; wire jesd_axis_tx_cmd_tvalid; - wire [511:0]jesd_axis_tx_tdata; wire jesd_axis_tx_tready; + // 204C + wire [511:0]jesd_axis_tx_tdata; + wire [511:0]jesd_axis_rx_tdata; + //204B +// wire [255:0]jesd_axis_tx_tdata; +// wire [255:0]jesd_axis_rx_tdata; + wire jesd_rx_core_reset; wire jesd_rx_sys_reset; wire jesd_tx_core_reset; wire jesd_tx_sys_reset; wire jesd_core_clk; wire jesd_core_clk_in; + wire jesd_core_clk2_in; wire [14:0] dac0_wf_bram_addr; wire dac0_wf_bram_clk; @@ -234,10 +248,55 @@ module top # .O (jesd_core_clk_in) ); +// IBUFDS #( +// .DIFF_TERM("TRUE"), // Differential Termination +// .IBUF_LOW_PWR("FALSE"), // Low power="TRUE", Highest performance="FALSE" +// .IOSTANDARD("LVDS") // Specify the input I/O standard +// ) core_clk2_ibufds_c ( +// .I (jesd_core_clk2_p), +// .IB (jesd_core_clk2_n), +// .O (jesd_core_clk2_in) +// ); + BUFG BUFG_inst ( .O(jesd_core_clk), .I(jesd_core_clk_in) - ); + ); + + wire jesd_core_clk_locked; + +// jesd_core_clk_wiz jesd_core_clk_wiz +// ( +// .clk_out1(jesd_core_clk), +//// .clk_in_sel(jesd_clk_sel), +// .locked(jesd_core_clk_locked), +//// .clk_in1_p(jesd_core_clk_p), +//// .clk_in1_n(jesd_core_clk_n), +//// .clk_in2_p(jesd_core_clk2_p), +//// .clk_in2_n(jesd_core_clk2_n) +// .clk_in1(jesd_core_clk_in) +//// .clk_in2(jesd_core_clk2_in) +// ); + + + + wire jesd_sync_in; + IBUFDS #( + .DIFF_TERM("TRUE"), // Differential Termination + .IBUF_LOW_PWR("FALSE"), // Low power="TRUE", Highest performance="FALSE" + .IOSTANDARD("LVDS") // Specify the input I/O standard + ) jesd_sync_in_ibufds ( + .I (jesd_sync_in_p), + .IB (jesd_sync_in_n), + .O (jesd_sync_in) + ); + + wire jesd_sync_out; + OBUFDS jesd_sync_out_ibufds ( + .O (jesd_sync_out_p), + .OB (jesd_sync_out_n), + .I (jesd_sync_out) + ); IOBUF mdio_mdio_iobuf (.I(mdio_mdio_o), @@ -579,15 +638,8 @@ module top # .common1_qpll1_lock_out(common1_qpll1_lock_out), .jesd_axis_tx_aresetn(jesd_axis_tx_aresetn), .jesd_axis_rx_aresetn(jesd_axis_rx_aresetn), - .jesd_axis_rx_cmd_tdata(jesd_axis_rx_cmd_tdata), - .jesd_axis_rx_cmd_tready(jesd_axis_rx_cmd_tready), - .jesd_axis_rx_cmd_tuser(jesd_axis_rx_cmd_tuser), - .jesd_axis_rx_cmd_tvalid(jesd_axis_rx_cmd_tvalid), .jesd_axis_rx_tdata(jesd_axis_rx_tdata), .jesd_axis_rx_tvalid(jesd_axis_rx_tvalid), - .jesd_axis_tx_cmd_tdata(jesd_axis_tx_cmd_tdata), - .jesd_axis_tx_cmd_tready(jesd_axis_tx_cmd_tready), - .jesd_axis_tx_cmd_tvalid(jesd_axis_tx_cmd_tvalid), .jesd_axis_tx_tdata(jesd_axis_tx_tdata), .jesd_axis_tx_tready(jesd_axis_tx_tready), .jesd_qpll0_refclk_clk_n(jesd_qpll0_refclk_n), @@ -604,6 +656,17 @@ module top # .jesd_rx_sys_reset(jesd_rx_sys_reset), .jesd_core_clk(jesd_core_clk), + .jesd_axis_rx_cmd_tdata(jesd_axis_rx_cmd_tdata), + .jesd_axis_rx_cmd_tready(jesd_axis_rx_cmd_tready), + .jesd_axis_rx_cmd_tuser(jesd_axis_rx_cmd_tuser), + .jesd_axis_rx_cmd_tvalid(jesd_axis_rx_cmd_tvalid), + .jesd_axis_tx_cmd_tdata(jesd_axis_tx_cmd_tdata), + .jesd_axis_tx_cmd_tready(jesd_axis_tx_cmd_tready), + .jesd_axis_tx_cmd_tvalid(jesd_axis_tx_cmd_tvalid), + +// .jesd_tx_sync(jesd_sync_in), +// .jesd_rx_sync(jesd_sync_out), + .eth_clk(eth_clk[0]), .eth_resetn(eth_resetn[0]), .udp_rx_tdata(rx_udp_axis[0].tdata), @@ -661,7 +724,9 @@ module top # wire eth_reset; - assign leds = gpo[3:0]; + assign leds[1:0] = gpo[1:0]; + assign leds[2] = 0; + assign leds[3] = jesd_core_clk_locked; assign fmc_power_en = gpo[4]; assign resetb = gpo[5]; assign jesd_rx_core_reset = gpo[6]; @@ -669,11 +734,13 @@ module top # assign jesd_rx_sys_reset = gpo[8]; assign jesd_tx_sys_reset = gpo[9]; assign qspi_flash_aresetn = ~gpo[10]; + assign jesd_clk_sel = gpo[11]; assign eth_reset = gpo[15]; - assign gpi[31:3] = 0; + assign gpi[31:4] = 0; // assign gpi[31] = start_of_cpi; // assign gpi[30] = start_of_pulse; + assign gpi[3] = jesd_core_clk_locked; assign gpi[2] = common1_qpll1_lock_out; assign gpi[1] = common0_qpll1_lock_out; assign gpi[0] = ddr_init_calib_complete; @@ -806,6 +873,8 @@ module top # .in_tvalid(jesd_axis_rx_tvalid), .in_tdata_i(jesd_axis_rx_tdata[i*128+63 :i*128+0]), .in_tdata_q(jesd_axis_rx_tdata[i*128+127 :i*128+64]), +// .in_tdata_i(jesd_axis_rx_tdata[i*64+31 :i*64+0]), +// .in_tdata_q(jesd_axis_rx_tdata[i*64+63 :i*64+32]), .rx_out(rx_axis[i]) ); diff --git a/radar_alinx_kintex.srcs/sources_1/hdl/waveform_gen.v b/radar_alinx_kintex.srcs/sources_1/hdl/waveform_gen.v index 1302040..48d180e 100755 --- a/radar_alinx_kintex.srcs/sources_1/hdl/waveform_gen.v +++ b/radar_alinx_kintex.srcs/sources_1/hdl/waveform_gen.v @@ -53,6 +53,7 @@ module waveform_gen # output wire [511:0] jesd_tx +// output wire [255:0] jesd_tx ); @@ -179,6 +180,9 @@ reg delay_active_fed; reg [255:0] jesd_out_reg; reg [255:0] all_brams_out; +//reg [127:0] jesd_out_reg; +//reg [127:0] all_brams_out; + always @ (posedge clk) begin if (reset == 1'b1) begin @@ -248,24 +252,12 @@ always @ (posedge clk) begin end -//assign all_brams_out[16*0+15 + 384 : 16*0 + 384] = dac3_bram_dout[16*6+15:16*6]; -//assign all_brams_out[16*1+15 + 384 : 16*1 + 384] = dac3_bram_dout[16*4+15:16*4]; -//assign all_brams_out[16*2+15 + 384 : 16*2 + 384] = dac3_bram_dout[16*2+15:16*2]; -//assign all_brams_out[16*3+15 + 384 : 16*3 + 384] = dac3_bram_dout[16*0+15:16*0]; -//assign all_brams_out[16*4+15 + 384 : 16*4 + 384] = dac3_bram_dout[16*7+15:16*7]; -//assign all_brams_out[16*5+15 + 384 : 16*5 + 384] = dac3_bram_dout[16*5+15:16*5]; -//assign all_brams_out[16*6+15 + 384 : 16*6 + 384] = dac3_bram_dout[16*3+15:16*3]; -//assign all_brams_out[16*7+15 + 384 : 16*7 + 384] = dac3_bram_dout[16*1+15:16*1]; - -//assign all_brams_out[16*0+15 + 256 : 16*0 + 256] = dac2_bram_dout[16*6+15:16*6]; -//assign all_brams_out[16*1+15 + 256 : 16*1 + 256] = dac2_bram_dout[16*4+15:16*4]; -//assign all_brams_out[16*2+15 + 256 : 16*2 + 256] = dac2_bram_dout[16*2+15:16*2]; -//assign all_brams_out[16*3+15 + 256 : 16*3 + 256] = dac2_bram_dout[16*0+15:16*0]; -//assign all_brams_out[16*4+15 + 256 : 16*4 + 256] = dac2_bram_dout[16*7+15:16*7]; -//assign all_brams_out[16*5+15 + 256 : 16*5 + 256] = dac2_bram_dout[16*5+15:16*5]; -//assign all_brams_out[16*6+15 + 256 : 16*6 + 256] = dac2_bram_dout[16*3+15:16*3]; -//assign all_brams_out[16*7+15 + 256 : 16*7 + 256] = dac2_bram_dout[16*1+15:16*1]; - + +assign dac1_bram_addr = dac0_bram_addr; + + +// 204C +// remap data for easier software loading of BRAMs assign all_brams_out[16*0+15 + 128 : 16*0 + 128] = dac1_bram_dout[16*6+15:16*6]; assign all_brams_out[16*1+15 + 128 : 16*1 + 128] = dac1_bram_dout[16*4+15:16*4]; assign all_brams_out[16*2+15 + 128 : 16*2 + 128] = dac1_bram_dout[16*2+15:16*2]; @@ -284,11 +276,6 @@ assign all_brams_out[16*5+15 + 0 : 16*5 + 0] = dac0_bram_dout[16*5+15:16*5 assign all_brams_out[16*6+15 + 0 : 16*6 + 0] = dac0_bram_dout[16*3+15:16*3]; assign all_brams_out[16*7+15 + 0 : 16*7 + 0] = dac0_bram_dout[16*1+15:16*1]; -assign dac1_bram_addr = dac0_bram_addr; -//assign dac2_bram_addr = dac0_bram_addr; -//assign dac3_bram_addr = dac0_bram_addr; - - // dac2 and dac3 are used for LOs, so just need a constant 1 + j0 output. The NCO // inside the AD9081 will be used to turn this into a tone @@ -296,6 +283,23 @@ assign jesd_tx[511:384] = {16'h0000, 16'h0000, 16'h0000, 16'h0000, 16'h7FFF, 16' assign jesd_tx[383:256] = {16'h0000, 16'h0000, 16'h0000, 16'h0000, 16'h7FFF, 16'h7FFF, 16'h7FFF, 16'h7FFF}; assign jesd_tx[255:0] = jesd_out_reg; +//204B + +//assign all_brams_out[16*0+15 + 64 : 16*0 + 64] = dac1_bram_dout[16*2+15:16*2]; +//assign all_brams_out[16*1+15 + 64 : 16*1 + 64] = dac1_bram_dout[16*0+15:16*0]; +//assign all_brams_out[16*2+15 + 64 : 16*2 + 64] = dac1_bram_dout[16*3+15:16*3]; +//assign all_brams_out[16*3+15 + 64 : 16*3 + 64] = dac1_bram_dout[16*1+15:16*1]; + +//assign all_brams_out[16*0+15 + 0 : 16*0 + 0] = dac0_bram_dout[16*2+15:16*2]; +//assign all_brams_out[16*1+15 + 0 : 16*1 + 0] = dac0_bram_dout[16*0+15:16*0]; +//assign all_brams_out[16*2+15 + 0 : 16*2 + 0] = dac0_bram_dout[16*3+15:16*3]; +//assign all_brams_out[16*3+15 + 0 : 16*3 + 0] = dac0_bram_dout[16*1+15:16*1]; + +//assign jesd_tx[255:192] = {16'h0000, 16'h0000, 16'h7FFF, 16'h7FFF}; +//assign jesd_tx[191:128] = {16'h0000, 16'h0000, 16'h7FFF, 16'h7FFF}; +//assign jesd_tx[127:0] = jesd_out_reg; + + wf_memory dac0_wf_mem ( .clka(dac0_wf_bram_clk), .ena(dac0_wf_bram_en), @@ -327,38 +331,7 @@ wf_memory dac1_wf_mem ( .dinb(0), .doutb(dac1_bram_dout) ); - -//wf_memory dac2_wf_mem ( -// .clka(dac2_wf_bram_clk), -// .ena(dac2_wf_bram_en), -// .wea(dac2_wf_bram_we), -// .addra(dac2_wf_bram_addr[14:2]), -// .dina(dac2_wf_bram_din), -// .douta(dac2_wf_bram_dout), - -// .clkb(clk), -// .enb(1'b1), -// .web(1'b0), -// .addrb(dac2_bram_addr), -// .dinb(0), -// .doutb(dac2_bram_dout) -//); - -//wf_memory dac3_wf_mem ( -// .clka(dac3_wf_bram_clk), -// .ena(dac3_wf_bram_en), -// .wea(dac3_wf_bram_we), -// .addra(dac3_wf_bram_addr[14:2]), -// .dina(dac3_wf_bram_din), -// .douta(dac3_wf_bram_dout), - -// .clkb(clk), -// .enb(1'b1), -// .web(1'b0), -// .addrb(dac3_bram_addr), -// .dinb(0), -// .doutb(dac3_bram_dout) -//); + endmodule diff --git a/radar_alinx_kintex.srcs/sources_1/ip/axis_switch_0/axis_switch_0.xci b/radar_alinx_kintex.srcs/sources_1/ip/axis_switch_0/axis_switch_0.xci index 5619694..34c7ca9 100755 --- a/radar_alinx_kintex.srcs/sources_1/ip/axis_switch_0/axis_switch_0.xci +++ b/radar_alinx_kintex.srcs/sources_1/ip/axis_switch_0/axis_switch_0.xci @@ -350,9 +350,9 @@ "PREFHDL": [ { "value": "VERILOG" } ], "SILICON_REVISION": [ { "value": "" } ], "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], - "SPEEDGRADE": [ { "value": "-1" } ], + "SPEEDGRADE": [ { "value": "-2" } ], "STATIC_POWER": [ { "value": "" } ], - "TEMPERATURE_GRADE": [ { "value": "C" } ], + "TEMPERATURE_GRADE": [ { "value": "I" } ], "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], "USE_RDI_GENERATION": [ { "value": "TRUE" } ] }, diff --git a/radar_alinx_kintex.srcs/sources_1/ip/clock_converter/clock_converter.xci b/radar_alinx_kintex.srcs/sources_1/ip/clock_converter/clock_converter.xci index 1adeb42..490783c 100755 --- a/radar_alinx_kintex.srcs/sources_1/ip/clock_converter/clock_converter.xci +++ b/radar_alinx_kintex.srcs/sources_1/ip/clock_converter/clock_converter.xci @@ -42,9 +42,9 @@ "PREFHDL": [ { "value": "VERILOG" } ], "SILICON_REVISION": [ { "value": "" } ], "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], - "SPEEDGRADE": [ { "value": "-1" } ], + "SPEEDGRADE": [ { "value": "-2" } ], "STATIC_POWER": [ { "value": "" } ], - "TEMPERATURE_GRADE": [ { "value": "C" } ], + "TEMPERATURE_GRADE": [ { "value": "I" } ], "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], "USE_RDI_GENERATION": [ { "value": "TRUE" } ] }, diff --git a/radar_alinx_kintex.srcs/sources_1/ip/data_fifo/data_fifo.xci b/radar_alinx_kintex.srcs/sources_1/ip/data_fifo/data_fifo.xci index 036ad3c..a0b8b40 100755 --- a/radar_alinx_kintex.srcs/sources_1/ip/data_fifo/data_fifo.xci +++ b/radar_alinx_kintex.srcs/sources_1/ip/data_fifo/data_fifo.xci @@ -60,9 +60,9 @@ "PREFHDL": [ { "value": "VERILOG" } ], "SILICON_REVISION": [ { "value": "" } ], "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], - "SPEEDGRADE": [ { "value": "-1" } ], + "SPEEDGRADE": [ { "value": "-2" } ], "STATIC_POWER": [ { "value": "" } ], - "TEMPERATURE_GRADE": [ { "value": "C" } ], + "TEMPERATURE_GRADE": [ { "value": "I" } ], "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], "USE_RDI_GENERATION": [ { "value": "TRUE" } ] }, diff --git a/radar_alinx_kintex.srcs/sources_1/ip/dig_rx_clock_converter/dig_rx_clock_converter.xci b/radar_alinx_kintex.srcs/sources_1/ip/dig_rx_clock_converter/dig_rx_clock_converter.xci index cd9a9fe..71c0f23 100755 --- a/radar_alinx_kintex.srcs/sources_1/ip/dig_rx_clock_converter/dig_rx_clock_converter.xci +++ b/radar_alinx_kintex.srcs/sources_1/ip/dig_rx_clock_converter/dig_rx_clock_converter.xci @@ -42,9 +42,9 @@ "PREFHDL": [ { "value": "VERILOG" } ], "SILICON_REVISION": [ { "value": "" } ], "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], - "SPEEDGRADE": [ { "value": "-1" } ], + "SPEEDGRADE": [ { "value": "-2" } ], "STATIC_POWER": [ { "value": "" } ], - "TEMPERATURE_GRADE": [ { "value": "C" } ], + "TEMPERATURE_GRADE": [ { "value": "I" } ], "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], "USE_RDI_GENERATION": [ { "value": "TRUE" } ] }, diff --git a/radar_alinx_kintex.srcs/sources_1/ip/dig_rx_dwidth_converter/dig_rx_dwidth_converter.xci b/radar_alinx_kintex.srcs/sources_1/ip/dig_rx_dwidth_converter/dig_rx_dwidth_converter.xci index 206ab91..75fdc88 100755 --- a/radar_alinx_kintex.srcs/sources_1/ip/dig_rx_dwidth_converter/dig_rx_dwidth_converter.xci +++ b/radar_alinx_kintex.srcs/sources_1/ip/dig_rx_dwidth_converter/dig_rx_dwidth_converter.xci @@ -39,9 +39,9 @@ "PREFHDL": [ { "value": "VERILOG" } ], "SILICON_REVISION": [ { "value": "" } ], "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], - "SPEEDGRADE": [ { "value": "-1" } ], + "SPEEDGRADE": [ { "value": "-2" } ], "STATIC_POWER": [ { "value": "" } ], - "TEMPERATURE_GRADE": [ { "value": "C" } ], + "TEMPERATURE_GRADE": [ { "value": "I" } ], "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], "USE_RDI_GENERATION": [ { "value": "TRUE" } ] }, diff --git a/radar_alinx_kintex.srcs/sources_1/ip/eth_xcvr_gt_channel/eth_xcvr_gt_channel.xci b/radar_alinx_kintex.srcs/sources_1/ip/eth_xcvr_gt_channel/eth_xcvr_gt_channel.xci index b81cdd6..a9c778c 100755 --- a/radar_alinx_kintex.srcs/sources_1/ip/eth_xcvr_gt_channel/eth_xcvr_gt_channel.xci +++ b/radar_alinx_kintex.srcs/sources_1/ip/eth_xcvr_gt_channel/eth_xcvr_gt_channel.xci @@ -830,9 +830,9 @@ "PREFHDL": [ { "value": "VERILOG" } ], "SILICON_REVISION": [ { "value": "" } ], "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], - "SPEEDGRADE": [ { "value": "-1" } ], + "SPEEDGRADE": [ { "value": "-2" } ], "STATIC_POWER": [ { "value": "" } ], - "TEMPERATURE_GRADE": [ { "value": "C" } ], + "TEMPERATURE_GRADE": [ { "value": "I" } ], "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], "USE_RDI_GENERATION": [ { "value": "TRUE" } ] }, diff --git a/radar_alinx_kintex.srcs/sources_1/ip/eth_xcvr_gt_full/eth_xcvr_gt_full.xci b/radar_alinx_kintex.srcs/sources_1/ip/eth_xcvr_gt_full/eth_xcvr_gt_full.xci index cde3119..3c99405 100755 --- a/radar_alinx_kintex.srcs/sources_1/ip/eth_xcvr_gt_full/eth_xcvr_gt_full.xci +++ b/radar_alinx_kintex.srcs/sources_1/ip/eth_xcvr_gt_full/eth_xcvr_gt_full.xci @@ -830,9 +830,9 @@ "PREFHDL": [ { "value": "VERILOG" } ], "SILICON_REVISION": [ { "value": "" } ], "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], - "SPEEDGRADE": [ { "value": "-1" } ], + "SPEEDGRADE": [ { "value": "-2" } ], "STATIC_POWER": [ { "value": "" } ], - "TEMPERATURE_GRADE": [ { "value": "C" } ], + "TEMPERATURE_GRADE": [ { "value": "I" } ], "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], "USE_RDI_GENERATION": [ { "value": "TRUE" } ] }, diff --git a/radar_alinx_kintex.srcs/sources_1/ip/hdr_fifo/hdr_fifo.xci b/radar_alinx_kintex.srcs/sources_1/ip/hdr_fifo/hdr_fifo.xci index 65f2e24..1c10a21 100755 --- a/radar_alinx_kintex.srcs/sources_1/ip/hdr_fifo/hdr_fifo.xci +++ b/radar_alinx_kintex.srcs/sources_1/ip/hdr_fifo/hdr_fifo.xci @@ -60,9 +60,9 @@ "PREFHDL": [ { "value": "VERILOG" } ], "SILICON_REVISION": [ { "value": "" } ], "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], - "SPEEDGRADE": [ { "value": "-1" } ], + "SPEEDGRADE": [ { "value": "-2" } ], "STATIC_POWER": [ { "value": "" } ], - "TEMPERATURE_GRADE": [ { "value": "C" } ], + "TEMPERATURE_GRADE": [ { "value": "I" } ], "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], "USE_RDI_GENERATION": [ { "value": "TRUE" } ] }, diff --git a/radar_alinx_kintex.srcs/sources_1/ip/hdr_mem/hdr_mem.xci b/radar_alinx_kintex.srcs/sources_1/ip/hdr_mem/hdr_mem.xci index 9b27eb4..0b0640e 100755 --- a/radar_alinx_kintex.srcs/sources_1/ip/hdr_mem/hdr_mem.xci +++ b/radar_alinx_kintex.srcs/sources_1/ip/hdr_mem/hdr_mem.xci @@ -166,9 +166,9 @@ "PREFHDL": [ { "value": "VERILOG" } ], "SILICON_REVISION": [ { "value": "" } ], "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], - "SPEEDGRADE": [ { "value": "-1" } ], + "SPEEDGRADE": [ { "value": "-2" } ], "STATIC_POWER": [ { "value": "" } ], - "TEMPERATURE_GRADE": [ { "value": "C" } ], + "TEMPERATURE_GRADE": [ { "value": "I" } ], "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], "USE_RDI_GENERATION": [ { "value": "TRUE" } ] }, diff --git a/radar_alinx_kintex.srcs/sources_1/ip/jesd_core_clk_wiz/jesd_core_clk_wiz.xci b/radar_alinx_kintex.srcs/sources_1/ip/jesd_core_clk_wiz/jesd_core_clk_wiz.xci new file mode 100644 index 0000000..6333daa --- /dev/null +++ b/radar_alinx_kintex.srcs/sources_1/ip/jesd_core_clk_wiz/jesd_core_clk_wiz.xci @@ -0,0 +1,658 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "jesd_core_clk_wiz", + "component_reference": "xilinx.com:ip:clk_wiz:6.0", + "ip_revision": "11", + "gen_directory": "../../../../radar_alinx_kintex.gen/sources_1/ip/jesd_core_clk_wiz", + "parameters": { + "component_parameters": { + "Component_Name": [ { "value": "jesd_core_clk_wiz", "resolve_type": "user", "usage": "all" } ], + "USER_CLK_FREQ0": [ { "value": "100.0", "resolve_type": "user", "format": "float", "usage": "all" } ], + "USER_CLK_FREQ1": [ { "value": "100.0", "resolve_type": "user", "format": "float", "usage": "all" } ], + "USER_CLK_FREQ2": [ { "value": "100.0", "resolve_type": "user", "format": "float", "usage": "all" } ], + "USER_CLK_FREQ3": [ { "value": "100.0", "resolve_type": "user", "format": "float", "usage": "all" } ], + "ENABLE_CLOCK_MONITOR": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "OPTIMIZE_CLOCKING_STRUCTURE_EN": [ { "value": "true", "value_src": "user", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "ENABLE_USER_CLOCK0": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "ENABLE_USER_CLOCK1": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "ENABLE_USER_CLOCK2": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "ENABLE_USER_CLOCK3": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "Enable_PLL0": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "Enable_PLL1": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "REF_CLK_FREQ": [ { "value": "100.0", "resolve_type": "user", "format": "float", "usage": "all" } ], + "PRECISION": [ { "value": "1", "resolve_type": "user", "format": "float", "usage": "all" } ], + "PRIMITIVE": [ { "value": "Auto", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "PRIMTYPE_SEL": [ { "value": "mmcm_adv", "resolve_type": "user", "usage": "all" } ], + "CLOCK_MGR_TYPE": [ { "value": "auto", "resolve_type": "user", "usage": "all" } ], + "USE_FREQ_SYNTH": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "USE_SPREAD_SPECTRUM": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "USE_PHASE_ALIGNMENT": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "USE_MIN_POWER": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "USE_DYN_PHASE_SHIFT": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "USE_DYN_RECONFIG": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "JITTER_SEL": [ { "value": "No_Jitter", "resolve_type": "user", "usage": "all" } ], + "PRIM_IN_FREQ": [ { "value": "187.5", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ], + "PRIM_IN_TIMEPERIOD": [ { "value": "10.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "IN_FREQ_UNITS": [ { "value": "Units_MHz", "resolve_type": "user", "usage": "all" } ], + "PHASESHIFT_MODE": [ { "value": "WAVEFORM", "resolve_type": "user", "usage": "all" } ], + "IN_JITTER_UNITS": [ { "value": "Units_UI", "resolve_type": "user", "usage": "all" } ], + "RELATIVE_INCLK": [ { "value": "REL_PRIMARY", "resolve_type": "user", "usage": "all" } ], + "USE_INCLK_SWITCHOVER": [ { "value": "false", "value_src": "user", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "SECONDARY_IN_FREQ": [ { "value": "187.5", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ], + "SECONDARY_IN_TIMEPERIOD": [ { "value": "10.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "SECONDARY_PORT": [ { "value": "clk_in2", "resolve_type": "user", "usage": "all" } ], + "SECONDARY_SOURCE": [ { "value": "Global_buffer", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "JITTER_OPTIONS": [ { "value": "UI", "resolve_type": "user", "usage": "all" } ], + "CLKIN1_UI_JITTER": [ { "value": "0.010", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKIN2_UI_JITTER": [ { "value": "0.010", "resolve_type": "user", "format": "float", "usage": "all" } ], + "PRIM_IN_JITTER": [ { "value": "0.010", "resolve_type": "user", "format": "float", "usage": "all" } ], + "SECONDARY_IN_JITTER": [ { "value": "0.010", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKIN1_JITTER_PS": [ { "value": "53.330000000000005", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKIN2_JITTER_PS": [ { "value": "53.330000000000005", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT1_USED": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "CLKOUT2_USED": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "CLKOUT3_USED": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "CLKOUT4_USED": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "CLKOUT5_USED": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "CLKOUT6_USED": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "CLKOUT7_USED": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "NUM_OUT_CLKS": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "CLK_OUT1_USE_FINE_PS_GUI": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "CLK_OUT2_USE_FINE_PS_GUI": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "CLK_OUT3_USE_FINE_PS_GUI": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "CLK_OUT4_USE_FINE_PS_GUI": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "CLK_OUT5_USE_FINE_PS_GUI": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "CLK_OUT6_USE_FINE_PS_GUI": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "CLK_OUT7_USE_FINE_PS_GUI": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "PRIMARY_PORT": [ { "value": "clk_in1", "resolve_type": "user", "usage": "all" } ], + "CLK_OUT1_PORT": [ { "value": "clk_out1", "resolve_type": "user", "usage": "all" } ], + "CLK_OUT2_PORT": [ { "value": "clk_out2", "resolve_type": "user", "usage": "all" } ], + "CLK_OUT3_PORT": [ { "value": "clk_out3", "resolve_type": "user", "usage": "all" } ], + "CLK_OUT4_PORT": [ { "value": "clk_out4", "resolve_type": "user", "usage": "all" } ], + "CLK_OUT5_PORT": [ { "value": "clk_out5", "resolve_type": "user", "usage": "all" } ], + "CLK_OUT6_PORT": [ { "value": "clk_out6", "resolve_type": "user", "usage": "all" } ], + "CLK_OUT7_PORT": [ { "value": "clk_out7", "resolve_type": "user", "usage": "all" } ], + "DADDR_PORT": [ { "value": "daddr", "resolve_type": "user", "usage": "all" } ], + "DCLK_PORT": [ { "value": "dclk", "resolve_type": "user", "usage": "all" } ], + "DRDY_PORT": [ { "value": "drdy", "resolve_type": "user", "usage": "all" } ], + "DWE_PORT": [ { "value": "dwe", "resolve_type": "user", "usage": "all" } ], + "DIN_PORT": [ { "value": "din", "resolve_type": "user", "usage": "all" } ], + "DOUT_PORT": [ { "value": "dout", "resolve_type": "user", "usage": "all" } ], + "DEN_PORT": [ { "value": "den", "resolve_type": "user", "usage": "all" } ], + "PSCLK_PORT": [ { "value": "psclk", "resolve_type": "user", "usage": "all" } ], + "PSEN_PORT": [ { "value": "psen", "resolve_type": "user", "usage": "all" } ], + "PSINCDEC_PORT": [ { "value": "psincdec", "resolve_type": "user", "usage": "all" } ], + "PSDONE_PORT": [ { "value": "psdone", "resolve_type": "user", "usage": "all" } ], + "CLKOUT1_REQUESTED_OUT_FREQ": [ { "value": "187.5", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT1_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT1_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT2_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT2_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT2_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT3_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT3_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT3_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT4_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT4_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT4_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT5_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT5_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT5_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT6_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT6_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT6_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT7_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT7_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT7_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "USE_MAX_I_JITTER": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "USE_MIN_O_JITTER": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "CLKOUT1_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "CLKOUT2_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "CLKOUT3_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "CLKOUT4_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "CLKOUT5_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "CLKOUT6_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "CLKOUT7_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "PRIM_SOURCE": [ { "value": "Global_buffer", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "CLKOUT1_DRIVES": [ { "value": "Buffer", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "CLKOUT2_DRIVES": [ { "value": "Buffer", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "CLKOUT3_DRIVES": [ { "value": "Buffer", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "CLKOUT4_DRIVES": [ { "value": "Buffer", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "CLKOUT5_DRIVES": [ { "value": "Buffer", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "CLKOUT6_DRIVES": [ { "value": "Buffer", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "CLKOUT7_DRIVES": [ { "value": "Buffer", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "FEEDBACK_SOURCE": [ { "value": "FDBK_AUTO", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "CLKFB_IN_SIGNALING": [ { "value": "SINGLE", "resolve_type": "user", "usage": "all" } ], + "CLKFB_IN_PORT": [ { "value": "clkfb_in", "resolve_type": "user", "usage": "all" } ], + "CLKFB_IN_P_PORT": [ { "value": "clkfb_in_p", "resolve_type": "user", "usage": "all" } ], + "CLKFB_IN_N_PORT": [ { "value": "clkfb_in_n", "resolve_type": "user", "usage": "all" } ], + "CLKFB_OUT_PORT": [ { "value": "clkfb_out", "resolve_type": "user", "usage": "all" } ], + "CLKFB_OUT_P_PORT": [ { "value": "clkfb_out_p", "resolve_type": "user", "usage": "all" } ], + "CLKFB_OUT_N_PORT": [ { "value": "clkfb_out_n", "resolve_type": "user", "usage": "all" } ], + "PLATFORM": [ { "value": "UNKNOWN", "resolve_type": "user", "usage": "all" } ], + "SUMMARY_STRINGS": [ { "value": "empty", "resolve_type": "user", "usage": "all" } ], + "USE_LOCKED": [ { "value": "true", "value_src": "user", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "CALC_DONE": [ { "value": "empty", "resolve_type": "user", "usage": "all" } ], + "USE_RESET": [ { "value": "false", "value_src": "user", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "USE_POWER_DOWN": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "USE_STATUS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "USE_FREEZE": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "USE_CLK_VALID": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "USE_INCLK_STOPPED": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "USE_CLKFB_STOPPED": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "RESET_PORT": [ { "value": "reset", "resolve_type": "user", "usage": "all" } ], + "LOCKED_PORT": [ { "value": "locked", "resolve_type": "user", "usage": "all" } ], + "POWER_DOWN_PORT": [ { "value": "power_down", "resolve_type": "user", "usage": "all" } ], + "CLK_VALID_PORT": [ { "value": "CLK_VALID", "resolve_type": "user", "usage": "all" } ], + "STATUS_PORT": [ { "value": "STATUS", "resolve_type": "user", "usage": "all" } ], + "CLK_IN_SEL_PORT": [ { "value": "clk_in_sel", "resolve_type": "user", "usage": "all" } ], + "INPUT_CLK_STOPPED_PORT": [ { "value": "input_clk_stopped", "resolve_type": "user", "usage": "all" } ], + "CLKFB_STOPPED_PORT": [ { "value": "clkfb_stopped", "resolve_type": "user", "usage": "all" } ], + "SS_MODE": [ { "value": "CENTER_HIGH", "resolve_type": "user", "usage": "all" } ], + "SS_MOD_FREQ": [ { "value": "250", "resolve_type": "user", "format": "float", "usage": "all" } ], + "SS_MOD_TIME": [ { "value": "0.004", "resolve_type": "user", "format": "float", "usage": "all" } ], + "OVERRIDE_MMCM": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "MMCM_NOTES": [ { "value": "None", "resolve_type": "user", "usage": "all" } ], + "MMCM_DIVCLK_DIVIDE": [ { "value": "1", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "MMCM_BANDWIDTH": [ { "value": "OPTIMIZED", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "MMCM_CLKFBOUT_MULT_F": [ { "value": "4", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ], + "MMCM_CLKFBOUT_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "MMCM_CLKFBOUT_USE_FINE_PS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "MMCM_CLKIN1_PERIOD": [ { "value": "5.333", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ], + "MMCM_CLKIN2_PERIOD": [ { "value": "5.333", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ], + "MMCM_CLKOUT4_CASCADE": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "MMCM_CLOCK_HOLD": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "MMCM_COMPENSATION": [ { "value": "AUTO", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "MMCM_REF_JITTER1": [ { "value": "0.010", "resolve_type": "user", "format": "float", "usage": "all" } ], + "MMCM_REF_JITTER2": [ { "value": "0.010", "resolve_type": "user", "format": "float", "usage": "all" } ], + "MMCM_STARTUP_WAIT": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "MMCM_CLKOUT0_DIVIDE_F": [ { "value": "4", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ], + "MMCM_CLKOUT0_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ], + "MMCM_CLKOUT0_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "MMCM_CLKOUT0_USE_FINE_PS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "MMCM_CLKOUT1_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "MMCM_CLKOUT1_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ], + "MMCM_CLKOUT1_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "MMCM_CLKOUT1_USE_FINE_PS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "MMCM_CLKOUT2_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "MMCM_CLKOUT2_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ], + "MMCM_CLKOUT2_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "MMCM_CLKOUT2_USE_FINE_PS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "MMCM_CLKOUT3_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "MMCM_CLKOUT3_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ], + "MMCM_CLKOUT3_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "MMCM_CLKOUT3_USE_FINE_PS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "MMCM_CLKOUT4_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "MMCM_CLKOUT4_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ], + "MMCM_CLKOUT4_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "MMCM_CLKOUT4_USE_FINE_PS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "MMCM_CLKOUT5_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "MMCM_CLKOUT5_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ], + "MMCM_CLKOUT5_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "MMCM_CLKOUT5_USE_FINE_PS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "MMCM_CLKOUT6_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "MMCM_CLKOUT6_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ], + "MMCM_CLKOUT6_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "MMCM_CLKOUT6_USE_FINE_PS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "OVERRIDE_PLL": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "PLL_NOTES": [ { "value": "None", "resolve_type": "user", "usage": "all" } ], + "PLL_BANDWIDTH": [ { "value": "OPTIMIZED", "resolve_type": "user", "usage": "all" } ], + "PLL_CLKFBOUT_MULT": [ { "value": "4", "resolve_type": "user", "format": "long", "usage": "all" } ], + "PLL_CLKFBOUT_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "PLL_CLK_FEEDBACK": [ { "value": "CLKFBOUT", "resolve_type": "user", "usage": "all" } ], + "PLL_DIVCLK_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "PLL_CLKIN_PERIOD": [ { "value": "10.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "PLL_COMPENSATION": [ { "value": "SYSTEM_SYNCHRONOUS", "resolve_type": "user", "usage": "all" } ], + "PLL_REF_JITTER": [ { "value": "0.010", "resolve_type": "user", "format": "float", "usage": "all" } ], + "PLL_CLKOUT0_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "PLL_CLKOUT0_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ], + "PLL_CLKOUT0_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "PLL_CLKOUT1_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "PLL_CLKOUT1_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ], + "PLL_CLKOUT1_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "PLL_CLKOUT2_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "PLL_CLKOUT2_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ], + "PLL_CLKOUT2_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "PLL_CLKOUT3_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "PLL_CLKOUT3_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ], + "PLL_CLKOUT3_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "PLL_CLKOUT4_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "PLL_CLKOUT4_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ], + "PLL_CLKOUT4_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "PLL_CLKOUT5_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "PLL_CLKOUT5_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ], + "PLL_CLKOUT5_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "RESET_TYPE": [ { "value": "ACTIVE_HIGH", "resolve_type": "user", "usage": "all" } ], + "USE_SAFE_CLOCK_STARTUP": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "USE_CLOCK_SEQUENCING": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "CLKOUT1_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "CLKOUT2_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "CLKOUT3_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "CLKOUT4_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "CLKOUT5_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "CLKOUT6_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "CLKOUT7_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "USE_BOARD_FLOW": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "CLK_IN1_BOARD_INTERFACE": [ { "value": "Custom", "resolve_type": "user", "usage": "all" } ], + "CLK_IN2_BOARD_INTERFACE": [ { "value": "Custom", "resolve_type": "user", "usage": "all" } ], + "DIFF_CLK_IN1_BOARD_INTERFACE": [ { "value": "Custom", "resolve_type": "user", "usage": "all" } ], + "DIFF_CLK_IN2_BOARD_INTERFACE": [ { "value": "Custom", "resolve_type": "user", "usage": "all" } ], + "AUTO_PRIMITIVE": [ { "value": "PLL", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "RESET_BOARD_INTERFACE": [ { "value": "Custom", "resolve_type": "user", "usage": "all" } ], + "ENABLE_CDDC": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "CDDCDONE_PORT": [ { "value": "cddcdone", "resolve_type": "user", "usage": "all" } ], + "CDDCREQ_PORT": [ { "value": "cddcreq", "resolve_type": "user", "usage": "all" } ], + "ENABLE_CLKOUTPHY": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "CLKOUTPHY_REQUESTED_FREQ": [ { "value": "600.000", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT1_JITTER": [ { "value": "103.275", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT1_PHASE_ERROR": [ { "value": "101.676", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT2_JITTER": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT2_PHASE_ERROR": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT3_JITTER": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT3_PHASE_ERROR": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT4_JITTER": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT4_PHASE_ERROR": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT5_JITTER": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT5_PHASE_ERROR": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT6_JITTER": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT6_PHASE_ERROR": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT7_JITTER": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ], + "CLKOUT7_PHASE_ERROR": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ], + "INPUT_MODE": [ { "value": "frequency", "resolve_type": "user", "usage": "all" } ], + "INTERFACE_SELECTION": [ { "value": "Enable_AXI", "resolve_type": "user", "usage": "all" } ], + "AXI_DRP": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "PHASE_DUTY_CONFIG": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ] + }, + "model_parameters": { + "C_CLKOUT2_USED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USER_CLK_FREQ0": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_AUTO_PRIMITIVE": [ { "value": "PLL", "resolve_type": "generated", "usage": "all" } ], + "C_USER_CLK_FREQ1": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_USER_CLK_FREQ2": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_USER_CLK_FREQ3": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_ENABLE_CLOCK_MONITOR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_ENABLE_USER_CLOCK0": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_ENABLE_USER_CLOCK1": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_ENABLE_USER_CLOCK2": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_ENABLE_USER_CLOCK3": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_Enable_PLL0": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_Enable_PLL1": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_REF_CLK_FREQ": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_PRECISION": [ { "value": "1", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT3_USED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_CLKOUT4_USED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_CLKOUT5_USED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_CLKOUT6_USED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_CLKOUT7_USED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USE_CLKOUT1_BAR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USE_CLKOUT2_BAR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USE_CLKOUT3_BAR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USE_CLKOUT4_BAR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "c_component_name": [ { "value": "jesd_core_clk_wiz", "resolve_type": "generated", "usage": "all" } ], + "C_PLATFORM": [ { "value": "UNKNOWN", "resolve_type": "generated", "usage": "all" } ], + "C_USE_FREQ_SYNTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USE_PHASE_ALIGNMENT": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PRIM_IN_JITTER": [ { "value": "0.010", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_SECONDARY_IN_JITTER": [ { "value": "0.010", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_JITTER_SEL": [ { "value": "No_Jitter", "resolve_type": "generated", "usage": "all" } ], + "C_USE_MIN_POWER": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USE_MIN_O_JITTER": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USE_MAX_I_JITTER": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USE_DYN_PHASE_SHIFT": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_OPTIMIZE_CLOCKING_STRUCTURE_EN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USE_INCLK_SWITCHOVER": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USE_DYN_RECONFIG": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USE_SPREAD_SPECTRUM": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USE_FAST_SIMULATION": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PRIMTYPE_SEL": [ { "value": "AUTO", "resolve_type": "generated", "usage": "all" } ], + "C_USE_CLK_VALID": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PRIM_IN_FREQ": [ { "value": "187.5", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_PRIM_IN_TIMEPERIOD": [ { "value": "10.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_IN_FREQ_UNITS": [ { "value": "Units_MHz", "resolve_type": "generated", "usage": "all" } ], + "C_SECONDARY_IN_FREQ": [ { "value": "187.5", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_SECONDARY_IN_TIMEPERIOD": [ { "value": "10.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_FEEDBACK_SOURCE": [ { "value": "FDBK_AUTO", "resolve_type": "generated", "usage": "all" } ], + "C_PRIM_SOURCE": [ { "value": "Global_buffer", "resolve_type": "generated", "usage": "all" } ], + "C_PHASESHIFT_MODE": [ { "value": "WAVEFORM", "resolve_type": "generated", "usage": "all" } ], + "C_SECONDARY_SOURCE": [ { "value": "Global_buffer", "resolve_type": "generated", "usage": "all" } ], + "C_CLKFB_IN_SIGNALING": [ { "value": "SINGLE", "resolve_type": "generated", "usage": "all" } ], + "C_USE_RESET": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RESET_LOW": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USE_LOCKED": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USE_INCLK_STOPPED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USE_CLKFB_STOPPED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USE_POWER_DOWN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USE_STATUS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USE_FREEZE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_NUM_OUT_CLKS": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_CLKOUT1_DRIVES": [ { "value": "BUFG", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT2_DRIVES": [ { "value": "BUFG", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT3_DRIVES": [ { "value": "BUFG", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT4_DRIVES": [ { "value": "BUFG", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT5_DRIVES": [ { "value": "BUFG", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT6_DRIVES": [ { "value": "BUFG", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT7_DRIVES": [ { "value": "BUFG", "resolve_type": "generated", "usage": "all" } ], + "C_INCLK_SUM_ROW0": [ { "value": "Input Clock Freq (MHz) Input Jitter (UI)", "resolve_type": "generated", "usage": "all" } ], + "C_INCLK_SUM_ROW1": [ { "value": "__primary___________187.5____________0.010", "resolve_type": "generated", "usage": "all" } ], + "C_INCLK_SUM_ROW2": [ { "value": "no_secondary_input_clock ", "resolve_type": "generated", "usage": "all" } ], + "C_OUTCLK_SUM_ROW0A": [ { "value": " Output Output Phase Duty Cycle Pk-to-Pk Phase", "resolve_type": "generated", "usage": "all" } ], + "C_OUTCLK_SUM_ROW0B": [ { "value": " Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps)", "resolve_type": "generated", "usage": "all" } ], + "C_OUTCLK_SUM_ROW1": [ { "value": "clk_out1__187.50000______0.000______50.0______103.275____101.676", "resolve_type": "generated", "usage": "all" } ], + "C_OUTCLK_SUM_ROW2": [ { "value": "no_CLK_OUT2_output", "resolve_type": "generated", "usage": "all" } ], + "C_OUTCLK_SUM_ROW3": [ { "value": "no_CLK_OUT3_output", "resolve_type": "generated", "usage": "all" } ], + "C_OUTCLK_SUM_ROW4": [ { "value": "no_CLK_OUT4_output", "resolve_type": "generated", "usage": "all" } ], + "C_OUTCLK_SUM_ROW5": [ { "value": "no_CLK_OUT5_output", "resolve_type": "generated", "usage": "all" } ], + "C_OUTCLK_SUM_ROW6": [ { "value": "no_CLK_OUT6_output", "resolve_type": "generated", "usage": "all" } ], + "C_OUTCLK_SUM_ROW7": [ { "value": "no_CLK_OUT7_output", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT1_REQUESTED_OUT_FREQ": [ { "value": "187.5", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT2_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT3_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT4_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT5_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT6_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT7_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT1_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT2_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT3_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT4_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT5_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT6_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT7_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT1_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT2_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT3_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT4_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT5_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT6_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT7_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT1_OUT_FREQ": [ { "value": "187.50000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT2_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT3_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT4_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT5_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT6_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT7_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT1_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT2_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT3_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT4_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT5_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT6_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT7_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT1_DUTY_CYCLE": [ { "value": "50.0", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT2_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT3_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT4_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT5_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT6_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKOUT7_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_USE_SAFE_CLOCK_STARTUP": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USE_CLOCK_SEQUENCING": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_CLKOUT1_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_CLKOUT2_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_CLKOUT3_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_CLKOUT4_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_CLKOUT5_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_CLKOUT6_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_CLKOUT7_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_MMCM_NOTES": [ { "value": "None", "resolve_type": "generated", "usage": "all" } ], + "C_MMCM_BANDWIDTH": [ { "value": "OPTIMIZED", "resolve_type": "generated", "usage": "all" } ], + "C_MMCM_CLKFBOUT_MULT_F": [ { "value": "4.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_MMCM_CLKIN1_PERIOD": [ { "value": "5.333", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_MMCM_CLKIN2_PERIOD": [ { "value": "5.333", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_MMCM_CLKOUT4_CASCADE": [ { "value": "FALSE", "resolve_type": "generated", "format": "bool", "usage": "all" } ], + "C_MMCM_CLOCK_HOLD": [ { "value": "FALSE", "resolve_type": "generated", "format": "bool", "usage": "all" } ], + "C_MMCM_COMPENSATION": [ { "value": "AUTO", "resolve_type": "generated", "usage": "all" } ], + "C_MMCM_DIVCLK_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_MMCM_REF_JITTER1": [ { "value": "0.010", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_MMCM_REF_JITTER2": [ { "value": "0.010", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_MMCM_STARTUP_WAIT": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C_MMCM_CLKOUT0_DIVIDE_F": [ { "value": "4.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_MMCM_CLKOUT1_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_MMCM_CLKOUT2_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_MMCM_CLKOUT3_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_MMCM_CLKOUT4_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_MMCM_CLKOUT5_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_MMCM_CLKOUT6_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_MMCM_CLKOUT0_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_MMCM_CLKOUT1_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_MMCM_CLKOUT2_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_MMCM_CLKOUT3_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_MMCM_CLKOUT4_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_MMCM_CLKOUT5_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_MMCM_CLKOUT6_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_MMCM_CLKFBOUT_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_MMCM_CLKOUT0_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_MMCM_CLKOUT1_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_MMCM_CLKOUT2_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_MMCM_CLKOUT3_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_MMCM_CLKOUT4_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_MMCM_CLKOUT5_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_MMCM_CLKOUT6_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_MMCM_CLKFBOUT_USE_FINE_PS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C_MMCM_CLKOUT0_USE_FINE_PS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C_MMCM_CLKOUT1_USE_FINE_PS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C_MMCM_CLKOUT2_USE_FINE_PS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C_MMCM_CLKOUT3_USE_FINE_PS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C_MMCM_CLKOUT4_USE_FINE_PS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C_MMCM_CLKOUT5_USE_FINE_PS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C_MMCM_CLKOUT6_USE_FINE_PS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C_PLL_NOTES": [ { "value": "No notes", "resolve_type": "generated", "usage": "all" } ], + "C_PLL_BANDWIDTH": [ { "value": "OPTIMIZED", "resolve_type": "generated", "usage": "all" } ], + "C_PLL_CLK_FEEDBACK": [ { "value": "CLKFBOUT", "resolve_type": "generated", "usage": "all" } ], + "C_PLL_CLKFBOUT_MULT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PLL_CLKIN_PERIOD": [ { "value": "1.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_PLL_COMPENSATION": [ { "value": "SYSTEM_SYNCHRONOUS", "resolve_type": "generated", "usage": "all" } ], + "C_PLL_DIVCLK_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PLL_REF_JITTER": [ { "value": "0.010", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_PLL_CLKOUT0_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PLL_CLKOUT1_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PLL_CLKOUT2_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PLL_CLKOUT3_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PLL_CLKOUT4_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PLL_CLKOUT5_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PLL_CLKOUT0_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_PLL_CLKOUT1_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_PLL_CLKOUT2_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_PLL_CLKOUT3_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_PLL_CLKOUT4_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_PLL_CLKOUT5_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_PLL_CLKFBOUT_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_PLL_CLKOUT0_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_PLL_CLKOUT1_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_PLL_CLKOUT2_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_PLL_CLKOUT3_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_PLL_CLKOUT4_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_PLL_CLKOUT5_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLOCK_MGR_TYPE": [ { "value": "NA", "resolve_type": "generated", "usage": "all" } ], + "C_OVERRIDE_MMCM": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_OVERRIDE_PLL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PRIMARY_PORT": [ { "value": "clk_in1", "resolve_type": "generated", "usage": "all" } ], + "C_SECONDARY_PORT": [ { "value": "clk_in2", "resolve_type": "generated", "usage": "all" } ], + "C_CLK_OUT1_PORT": [ { "value": "clk_out1", "resolve_type": "generated", "usage": "all" } ], + "C_CLK_OUT2_PORT": [ { "value": "clk_out2", "resolve_type": "generated", "usage": "all" } ], + "C_CLK_OUT3_PORT": [ { "value": "clk_out3", "resolve_type": "generated", "usage": "all" } ], + "C_CLK_OUT4_PORT": [ { "value": "clk_out4", "resolve_type": "generated", "usage": "all" } ], + "C_CLK_OUT5_PORT": [ { "value": "clk_out5", "resolve_type": "generated", "usage": "all" } ], + "C_CLK_OUT6_PORT": [ { "value": "clk_out6", "resolve_type": "generated", "usage": "all" } ], + "C_CLK_OUT7_PORT": [ { "value": "clk_out7", "resolve_type": "generated", "usage": "all" } ], + "C_RESET_PORT": [ { "value": "reset", "resolve_type": "generated", "usage": "all" } ], + "C_LOCKED_PORT": [ { "value": "locked", "resolve_type": "generated", "usage": "all" } ], + "C_CLKFB_IN_PORT": [ { "value": "clkfb_in", "resolve_type": "generated", "usage": "all" } ], + "C_CLKFB_IN_P_PORT": [ { "value": "clkfb_in_p", "resolve_type": "generated", "usage": "all" } ], + "C_CLKFB_IN_N_PORT": [ { "value": "clkfb_in_n", "resolve_type": "generated", "usage": "all" } ], + "C_CLKFB_OUT_PORT": [ { "value": "clkfb_out", "resolve_type": "generated", "usage": "all" } ], + "C_CLKFB_OUT_P_PORT": [ { "value": "clkfb_out_p", "resolve_type": "generated", "usage": "all" } ], + "C_CLKFB_OUT_N_PORT": [ { "value": "clkfb_out_n", "resolve_type": "generated", "usage": "all" } ], + "C_POWER_DOWN_PORT": [ { "value": "power_down", "resolve_type": "generated", "usage": "all" } ], + "C_DADDR_PORT": [ { "value": "daddr", "resolve_type": "generated", "usage": "all" } ], + "C_DCLK_PORT": [ { "value": "dclk", "resolve_type": "generated", "usage": "all" } ], + "C_DRDY_PORT": [ { "value": "drdy", "resolve_type": "generated", "usage": "all" } ], + "C_DWE_PORT": [ { "value": "dwe", "resolve_type": "generated", "usage": "all" } ], + "C_DIN_PORT": [ { "value": "din", "resolve_type": "generated", "usage": "all" } ], + "C_DOUT_PORT": [ { "value": "dout", "resolve_type": "generated", "usage": "all" } ], + "C_DEN_PORT": [ { "value": "den", "resolve_type": "generated", "usage": "all" } ], + "C_PSCLK_PORT": [ { "value": "psclk", "resolve_type": "generated", "usage": "all" } ], + "C_PSEN_PORT": [ { "value": "psen", "resolve_type": "generated", "usage": "all" } ], + "C_PSINCDEC_PORT": [ { "value": "psincdec", "resolve_type": "generated", "usage": "all" } ], + "C_PSDONE_PORT": [ { "value": "psdone", "resolve_type": "generated", "usage": "all" } ], + "C_CLK_VALID_PORT": [ { "value": "CLK_VALID", "resolve_type": "generated", "usage": "all" } ], + "C_STATUS_PORT": [ { "value": "STATUS", "resolve_type": "generated", "usage": "all" } ], + "C_CLK_IN_SEL_PORT": [ { "value": "clk_in_sel", "resolve_type": "generated", "usage": "all" } ], + "C_INPUT_CLK_STOPPED_PORT": [ { "value": "input_clk_stopped", "resolve_type": "generated", "usage": "all" } ], + "C_CLKFB_STOPPED_PORT": [ { "value": "clkfb_stopped", "resolve_type": "generated", "usage": "all" } ], + "C_CLKIN1_JITTER_PS": [ { "value": "53.330000000000005", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_CLKIN2_JITTER_PS": [ { "value": "53.330000000000005", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_PRIMITIVE": [ { "value": "Auto", "resolve_type": "generated", "usage": "all" } ], + "C_SS_MODE": [ { "value": "CENTER_HIGH", "resolve_type": "generated", "usage": "all" } ], + "C_SS_MOD_PERIOD": [ { "value": "4000", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_SS_MOD_TIME": [ { "value": "0.004", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_HAS_CDDC": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_CDDCDONE_PORT": [ { "value": "cddcdone", "resolve_type": "generated", "usage": "all" } ], + "C_CDDCREQ_PORT": [ { "value": "cddcreq", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUTPHY_MODE": [ { "value": "VCO", "resolve_type": "generated", "usage": "all" } ], + "C_ENABLE_CLKOUTPHY": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_INTERFACE_SELECTION": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_ADDR_WIDTH": [ { "value": "11", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_POWER_REG": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT0_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT0_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT1_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT1_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT2_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT2_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT3_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT3_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT4_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT4_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT5_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT5_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT6_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT6_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ], + "C_CLKFBOUT_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ], + "C_CLKFBOUT_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ], + "C_DIVCLK": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ], + "C_LOCK_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ], + "C_LOCK_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ], + "C_LOCK_3": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ], + "C_FILTER_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ], + "C_FILTER_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ], + "C_DIVIDE1_AUTO": [ { "value": "1", "resolve_type": "generated", "usage": "all" } ], + "C_DIVIDE2_AUTO": [ { "value": "0.25", "resolve_type": "generated", "usage": "all" } ], + "C_DIVIDE3_AUTO": [ { "value": "0.25", "resolve_type": "generated", "usage": "all" } ], + "C_DIVIDE4_AUTO": [ { "value": "0.25", "resolve_type": "generated", "usage": "all" } ], + "C_DIVIDE5_AUTO": [ { "value": "0.25", "resolve_type": "generated", "usage": "all" } ], + "C_DIVIDE6_AUTO": [ { "value": "0.25", "resolve_type": "generated", "usage": "all" } ], + "C_DIVIDE7_AUTO": [ { "value": "0.25", "resolve_type": "generated", "usage": "all" } ], + "C_PLLBUFGCEDIV": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "C_MMCMBUFGCEDIV": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "C_PLLBUFGCEDIV1": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "C_PLLBUFGCEDIV2": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "C_PLLBUFGCEDIV3": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "C_PLLBUFGCEDIV4": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "C_MMCMBUFGCEDIV1": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "C_MMCMBUFGCEDIV2": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "C_MMCMBUFGCEDIV3": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "C_MMCMBUFGCEDIV4": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "C_MMCMBUFGCEDIV5": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "C_MMCMBUFGCEDIV6": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "C_MMCMBUFGCEDIV7": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT1_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT2_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT3_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT4_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT5_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT6_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT7_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT0_ACTUAL_FREQ": [ { "value": "187.50000", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT1_ACTUAL_FREQ": [ { "value": "100.000", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT2_ACTUAL_FREQ": [ { "value": "100.000", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT3_ACTUAL_FREQ": [ { "value": "100.000", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT4_ACTUAL_FREQ": [ { "value": "100.000", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT5_ACTUAL_FREQ": [ { "value": "100.000", "resolve_type": "generated", "usage": "all" } ], + "C_CLKOUT6_ACTUAL_FREQ": [ { "value": "100.000", "resolve_type": "generated", "usage": "all" } ], + "C_M_MAX": [ { "value": "64.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_M_MIN": [ { "value": "2.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_D_MAX": [ { "value": "93.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_D_MIN": [ { "value": "1.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_O_MAX": [ { "value": "128.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_O_MIN": [ { "value": "1.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_VCO_MIN": [ { "value": "600.000", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_VCO_MAX": [ { "value": "1335.000", "resolve_type": "generated", "format": "float", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintexu" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xcku040" } ], + "PACKAGE": [ { "value": "ffva1156" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "I" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Flow" } ], + "IPREVISION": [ { "value": "11" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../radar_alinx_kintex.gen/sources_1/ip/jesd_core_clk_wiz" } ], + "SELECTEDSIMMODEL": [ { "value": "" } ], + "SHAREDDIR": [ { "value": "." } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "clk_in1": [ { "direction": "in" } ], + "clk_out1": [ { "direction": "out" } ], + "locked": [ { "direction": "out" } ] + }, + "interfaces": { + "clock_CLK_IN1": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ], + "BOARD.ASSOCIATED_PARAM": [ { "value": "CLK_IN1_BOARD_INTERFACE", "usage": "all", "is_static_object": false } ] + }, + "port_maps": { + "CLK_IN1": [ { "physical_name": "clk_in1" } ] + } + }, + "clock_CLK_OUT1": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "master", + "parameters": { + "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK_OUT1": [ { "physical_name": "clk_out1" } ] + } + } + } + } + } +} \ No newline at end of file diff --git a/radar_alinx_kintex.srcs/sources_1/ip/pulse_buffer_204B_fifo/pulse_buffer_204B_fifo.xci b/radar_alinx_kintex.srcs/sources_1/ip/pulse_buffer_204B_fifo/pulse_buffer_204B_fifo.xci new file mode 100644 index 0000000..7083389 --- /dev/null +++ b/radar_alinx_kintex.srcs/sources_1/ip/pulse_buffer_204B_fifo/pulse_buffer_204B_fifo.xci @@ -0,0 +1,202 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "pulse_buffer_204B_fifo", + "component_reference": "xilinx.com:ip:axis_data_fifo:2.0", + "ip_revision": "9", + "gen_directory": "../../../../radar_alinx_kintex.gen/sources_1/ip/pulse_buffer_204B_fifo", + "parameters": { + "component_parameters": { + "TDATA_NUM_BYTES": [ { "value": "8", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "TID_WIDTH": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "TDEST_WIDTH": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "TUSER_WIDTH": [ { "value": "1", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FIFO_DEPTH": [ { "value": "16384", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FIFO_MODE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "IS_ACLK_ASYNC": [ { "value": "1", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ACLKEN_CONV_MODE": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "HAS_TREADY": [ { "value": "1", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "HAS_TSTRB": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "HAS_TKEEP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "HAS_TLAST": [ { "value": "1", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "SYNCHRONIZATION_STAGES": [ { "value": "3", "resolve_type": "user", "format": "long", "usage": "all" } ], + "HAS_WR_DATA_COUNT": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "HAS_RD_DATA_COUNT": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "HAS_AEMPTY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "HAS_PROG_EMPTY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "PROG_EMPTY_THRESH": [ { "value": "5", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "HAS_AFULL": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "HAS_PROG_FULL": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "PROG_FULL_THRESH": [ { "value": "11", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "ENABLE_ECC": [ { "value": "0", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "HAS_ECC_ERR_INJECT": [ { "value": "0", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "FIFO_MEMORY_TYPE": [ { "value": "auto", "resolve_type": "user", "usage": "all" } ], + "Component_Name": [ { "value": "pulse_buffer_204B_fifo", "resolve_type": "user", "usage": "all" } ] + }, + "model_parameters": { + "C_FAMILY": [ { "value": "kintexu", "resolve_type": "generated", "usage": "all" } ], + "C_AXIS_TDATA_WIDTH": [ { "value": "64", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXIS_TID_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXIS_TDEST_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXIS_TUSER_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXIS_SIGNAL_SET": [ { "value": "0b00000000000000000000000010010011", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_FIFO_DEPTH": [ { "value": "16384", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_FIFO_MODE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_IS_ACLK_ASYNC": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_SYNCHRONIZER_STAGE": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_ACLKEN_CONV_MODE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_ECC_MODE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_FIFO_MEMORY_TYPE": [ { "value": "auto", "resolve_type": "generated", "usage": "all" } ], + "C_USE_ADV_FEATURES": [ { "value": "825241648", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PROG_EMPTY_THRESH": [ { "value": "5", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PROG_FULL_THRESH": [ { "value": "11", "resolve_type": "generated", "format": "long", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintexu" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xcku040" } ], + "PACKAGE": [ { "value": "ffva1156" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "I" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Flow" } ], + "IPREVISION": [ { "value": "9" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../radar_alinx_kintex.gen/sources_1/ip/pulse_buffer_204B_fifo" } ], + "SELECTEDSIMMODEL": [ { "value": "" } ], + "SHAREDDIR": [ { "value": "." } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "s_axis_aresetn": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axis_aclk": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axis_tvalid": [ { "direction": "in", "driver_value": "0x0" } ], + "s_axis_tready": [ { "direction": "out" } ], + "s_axis_tdata": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0x0000000000000000" } ], + "s_axis_tlast": [ { "direction": "in", "driver_value": "0x1" } ], + "s_axis_tuser": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ], + "m_axis_aclk": [ { "direction": "in", "driver_value": "0x0" } ], + "m_axis_tvalid": [ { "direction": "out" } ], + "m_axis_tready": [ { "direction": "in", "driver_value": "0x1" } ], + "m_axis_tdata": [ { "direction": "out", "size_left": "63", "size_right": "0" } ], + "m_axis_tlast": [ { "direction": "out" } ], + "m_axis_tuser": [ { "direction": "out", "size_left": "0", "size_right": "0" } ] + }, + "interfaces": { + "S_AXIS": { + "vlnv": "xilinx.com:interface:axis:1.0", + "abstraction_type": "xilinx.com:interface:axis_rtl:1.0", + "mode": "slave", + "parameters": { + "TDATA_NUM_BYTES": [ { "value": "8", "value_src": "auto", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "TDEST_WIDTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "TID_WIDTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "TUSER_WIDTH": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_TREADY": [ { "value": "1", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_TSTRB": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_TKEEP": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_TLAST": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "LAYERED_METADATA": [ { "value": "undef", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "TDATA": [ { "physical_name": "s_axis_tdata" } ], + "TLAST": [ { "physical_name": "s_axis_tlast" } ], + "TREADY": [ { "physical_name": "s_axis_tready" } ], + "TUSER": [ { "physical_name": "s_axis_tuser" } ], + "TVALID": [ { "physical_name": "s_axis_tvalid" } ] + } + }, + "M_AXIS": { + "vlnv": "xilinx.com:interface:axis:1.0", + "abstraction_type": "xilinx.com:interface:axis_rtl:1.0", + "mode": "master", + "parameters": { + "TDATA_NUM_BYTES": [ { "value": "8", "value_src": "auto", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "TDEST_WIDTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "TID_WIDTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "TUSER_WIDTH": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_TREADY": [ { "value": "1", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_TSTRB": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_TKEEP": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_TLAST": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "LAYERED_METADATA": [ { "value": "undef", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "TDATA": [ { "physical_name": "m_axis_tdata" } ], + "TLAST": [ { "physical_name": "m_axis_tlast" } ], + "TREADY": [ { "physical_name": "m_axis_tready" } ], + "TUSER": [ { "physical_name": "m_axis_tuser" } ], + "TVALID": [ { "physical_name": "m_axis_tvalid" } ] + } + }, + "S_RSTIF": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "RST": [ { "physical_name": "s_axis_aresetn" } ] + } + }, + "S_CLKIF": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "ASSOCIATED_BUSIF": [ { "value": "S_AXIS", "value_src": "constant", "usage": "all" } ], + "FREQ_HZ": [ { "value": "100000000", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "s_axis_aclk" } ] + } + }, + "M_CLKIF": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "ASSOCIATED_BUSIF": [ { "value": "M_AXIS", "value_src": "constant", "usage": "all" } ], + "FREQ_HZ": [ { "value": "100000000", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "m_axis_aclk" } ] + } + } + } + } + } +} \ No newline at end of file diff --git a/radar_alinx_kintex.srcs/sources_1/ip/pulse_buffer_fifo/pulse_buffer_fifo.xci b/radar_alinx_kintex.srcs/sources_1/ip/pulse_buffer_fifo/pulse_buffer_fifo.xci index 426f6cd..41ddf56 100755 --- a/radar_alinx_kintex.srcs/sources_1/ip/pulse_buffer_fifo/pulse_buffer_fifo.xci +++ b/radar_alinx_kintex.srcs/sources_1/ip/pulse_buffer_fifo/pulse_buffer_fifo.xci @@ -60,9 +60,9 @@ "PREFHDL": [ { "value": "VERILOG" } ], "SILICON_REVISION": [ { "value": "" } ], "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], - "SPEEDGRADE": [ { "value": "-1" } ], + "SPEEDGRADE": [ { "value": "-2" } ], "STATIC_POWER": [ { "value": "" } ], - "TEMPERATURE_GRADE": [ { "value": "C" } ], + "TEMPERATURE_GRADE": [ { "value": "I" } ], "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], "USE_RDI_GENERATION": [ { "value": "TRUE" } ] }, diff --git a/radar_alinx_kintex.srcs/sources_1/ip/wf_memory/wf_memory.xci b/radar_alinx_kintex.srcs/sources_1/ip/wf_memory/wf_memory.xci index a11ce98..36831ce 100755 --- a/radar_alinx_kintex.srcs/sources_1/ip/wf_memory/wf_memory.xci +++ b/radar_alinx_kintex.srcs/sources_1/ip/wf_memory/wf_memory.xci @@ -166,9 +166,9 @@ "PREFHDL": [ { "value": "VERILOG" } ], "SILICON_REVISION": [ { "value": "" } ], "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], - "SPEEDGRADE": [ { "value": "-1" } ], + "SPEEDGRADE": [ { "value": "-2" } ], "STATIC_POWER": [ { "value": "" } ], - "TEMPERATURE_GRADE": [ { "value": "C" } ], + "TEMPERATURE_GRADE": [ { "value": "I" } ], "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], "USE_RDI_GENERATION": [ { "value": "TRUE" } ] }, diff --git a/radar_alinx_kintex.srcs/sources_1/ip/width_converter/width_converter.xci b/radar_alinx_kintex.srcs/sources_1/ip/width_converter/width_converter.xci index a30f2c3..d223237 100755 --- a/radar_alinx_kintex.srcs/sources_1/ip/width_converter/width_converter.xci +++ b/radar_alinx_kintex.srcs/sources_1/ip/width_converter/width_converter.xci @@ -39,9 +39,9 @@ "PREFHDL": [ { "value": "VERILOG" } ], "SILICON_REVISION": [ { "value": "" } ], "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], - "SPEEDGRADE": [ { "value": "-1" } ], + "SPEEDGRADE": [ { "value": "-2" } ], "STATIC_POWER": [ { "value": "" } ], - "TEMPERATURE_GRADE": [ { "value": "C" } ], + "TEMPERATURE_GRADE": [ { "value": "I" } ], "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], "USE_RDI_GENERATION": [ { "value": "TRUE" } ] }, diff --git a/radar_alinx_kintex.srcs/utils_1/imports/synth_1/top.dcp b/radar_alinx_kintex.srcs/utils_1/imports/synth_1/top.dcp index a23d964..56f7143 100755 Binary files a/radar_alinx_kintex.srcs/utils_1/imports/synth_1/top.dcp and b/radar_alinx_kintex.srcs/utils_1/imports/synth_1/top.dcp differ diff --git a/radar_alinx_kintex.xpr b/radar_alinx_kintex.xpr index ac4c3ec..b44f849 100755 --- a/radar_alinx_kintex.xpr +++ b/radar_alinx_kintex.xpr @@ -7,7 +7,7 @@