2256 lines
104 KiB
XML
Executable File
2256 lines
104 KiB
XML
Executable File
<?xml version="1.0" encoding="UTF-8"?>
|
|
<!-- Product Version: Vivado v2022.2 (64-bit) -->
|
|
<!-- -->
|
|
<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. -->
|
|
|
|
<Project Version="7" Minor="61" Path="/home/bkiedinger/projects/castelion/radar_alinx_kintex/radar_alinx_kintex.xpr">
|
|
<DefaultLaunch Dir="$PRUNDIR"/>
|
|
<Configuration>
|
|
<Option Name="Id" Val="c179ea77804d47eabf9d7773e858daa9"/>
|
|
<Option Name="Part" Val="xcku040-ffva1156-2-i"/>
|
|
<Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>
|
|
<Option Name="CompiledLibDirXSim" Val=""/>
|
|
<Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/>
|
|
<Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/>
|
|
<Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/>
|
|
<Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/>
|
|
<Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/>
|
|
<Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/>
|
|
<Option Name="SimulatorInstallDirModelSim" Val=""/>
|
|
<Option Name="SimulatorInstallDirQuesta" Val=""/>
|
|
<Option Name="SimulatorInstallDirXcelium" Val=""/>
|
|
<Option Name="SimulatorInstallDirVCS" Val=""/>
|
|
<Option Name="SimulatorInstallDirRiviera" Val=""/>
|
|
<Option Name="SimulatorInstallDirActiveHdl" Val=""/>
|
|
<Option Name="SimulatorGccInstallDirModelSim" Val=""/>
|
|
<Option Name="SimulatorGccInstallDirQuesta" Val=""/>
|
|
<Option Name="SimulatorGccInstallDirXcelium" Val=""/>
|
|
<Option Name="SimulatorGccInstallDirVCS" Val=""/>
|
|
<Option Name="SimulatorGccInstallDirRiviera" Val=""/>
|
|
<Option Name="SimulatorGccInstallDirActiveHdl" Val=""/>
|
|
<Option Name="SimulatorVersionXsim" Val="2022.2"/>
|
|
<Option Name="SimulatorVersionModelSim" Val="2022.2"/>
|
|
<Option Name="SimulatorVersionQuesta" Val="2022.2"/>
|
|
<Option Name="SimulatorVersionXcelium" Val="21.09.009"/>
|
|
<Option Name="SimulatorVersionVCS" Val="S-2021.09"/>
|
|
<Option Name="SimulatorVersionRiviera" Val="2022.04"/>
|
|
<Option Name="SimulatorVersionActiveHdl" Val="13.0"/>
|
|
<Option Name="SimulatorGccVersionXsim" Val="6.2.0"/>
|
|
<Option Name="SimulatorGccVersionModelSim" Val="7.4.0"/>
|
|
<Option Name="SimulatorGccVersionQuesta" Val="7.4.0"/>
|
|
<Option Name="SimulatorGccVersionXcelium" Val="9.3.0"/>
|
|
<Option Name="SimulatorGccVersionVCS" Val="9.2.0"/>
|
|
<Option Name="SimulatorGccVersionRiviera" Val="9.3.0"/>
|
|
<Option Name="SimulatorGccVersionActiveHdl" Val="9.3.0"/>
|
|
<Option Name="BoardPart" Val=""/>
|
|
<Option Name="ActiveSimSet" Val="sim_1"/>
|
|
<Option Name="DefaultLib" Val="xil_defaultlib"/>
|
|
<Option Name="ProjectType" Val="Default"/>
|
|
<Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/>
|
|
<Option Name="IPDefaultOutputPath" Val="$PGENDIR/sources_1"/>
|
|
<Option Name="IPCachePermission" Val="disable"/>
|
|
<Option Name="EnableCoreContainer" Val="FALSE"/>
|
|
<Option Name="EnableResourceEstimation" Val="FALSE"/>
|
|
<Option Name="SimCompileState" Val="TRUE"/>
|
|
<Option Name="CreateRefXciForCoreContainers" Val="FALSE"/>
|
|
<Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/>
|
|
<Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>
|
|
<Option Name="EnableBDX" Val="FALSE"/>
|
|
<Option Name="WTXSimLaunchSim" Val="110"/>
|
|
<Option Name="WTModelSimLaunchSim" Val="0"/>
|
|
<Option Name="WTQuestaLaunchSim" Val="0"/>
|
|
<Option Name="WTIesLaunchSim" Val="0"/>
|
|
<Option Name="WTVcsLaunchSim" Val="0"/>
|
|
<Option Name="WTRivieraLaunchSim" Val="0"/>
|
|
<Option Name="WTActivehdlLaunchSim" Val="0"/>
|
|
<Option Name="WTXSimExportSim" Val="60"/>
|
|
<Option Name="WTModelSimExportSim" Val="60"/>
|
|
<Option Name="WTQuestaExportSim" Val="60"/>
|
|
<Option Name="WTIesExportSim" Val="0"/>
|
|
<Option Name="WTVcsExportSim" Val="60"/>
|
|
<Option Name="WTRivieraExportSim" Val="60"/>
|
|
<Option Name="WTActivehdlExportSim" Val="60"/>
|
|
<Option Name="GenerateIPUpgradeLog" Val="TRUE"/>
|
|
<Option Name="XSimRadix" Val="hex"/>
|
|
<Option Name="XSimTimeUnit" Val="ns"/>
|
|
<Option Name="XSimArrayDisplayLimit" Val="1024"/>
|
|
<Option Name="XSimTraceLimit" Val="65536"/>
|
|
<Option Name="SimTypes" Val="rtl"/>
|
|
<Option Name="SimTypes" Val="bfm"/>
|
|
<Option Name="SimTypes" Val="tlm"/>
|
|
<Option Name="SimTypes" Val="tlm_dpi"/>
|
|
<Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/>
|
|
<Option Name="DcpsUptoDate" Val="TRUE"/>
|
|
<Option Name="ClassicSocBoot" Val="FALSE"/>
|
|
<Option Name="LocalIPRepoLeafDirName" Val="ip_repo"/>
|
|
</Configuration>
|
|
<FileSets Version="1" Minor="31">
|
|
<FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
|
|
<Filter Type="Srcs"/>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/arbiter.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/arp.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/arp_cache.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/arp_eth_rx.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/arp_eth_tx.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/axil_slave.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/axis_adapter.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/axis_async_fifo.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/axis_async_fifo_adapter.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/axis_fifo.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/axis_xgmii_rx_32.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/axis_xgmii_rx_64.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/axis_xgmii_tx_32.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/axis_xgmii_tx_64.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/eth_arb_mux.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/eth_axis_rx.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/eth_axis_tx.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/eth_mac_10g.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/eth_mac_10g_fifo.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/eth_phy_10g.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/eth_phy_10g_rx.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/eth_phy_10g_rx_ber_mon.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/eth_phy_10g_rx_frame_sync.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/eth_phy_10g_rx_if.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/eth_phy_10g_rx_watchdog.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/eth_phy_10g_tx.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/eth_phy_10g_tx_if.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/eth_xcvr_phy_quad_wrapper.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/eth_xcvr_phy_wrapper.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/fpga_core.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/ip_64.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/ip_arb_mux.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/ip_complete_64.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/ip_eth_rx_64.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/ip_eth_tx_64.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/lfsr.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/mac_ctrl_rx.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/mac_ctrl_tx.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/mac_pause_ctrl_rx.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/mac_pause_ctrl_tx.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/priority_encoder.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/ptp_clock_cdc.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/pulse_generator.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/sync_reset.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/udp_64.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/udp_checksum_gen_64.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/udp_complete_64.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/udp_ip_rx_64.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/udp_ip_tx_64.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/xgmii_baser_dec_64.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/verilog_ethernet/xgmii_baser_enc_64.v">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/axi_intf.sv">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/delay_shift_reg.sv">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/digital_rx_chain.sv">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/ethernet_top.sv">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/wfg_ofdm/gen_ofdm.sv">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/wfg_ofdm/gen_sine.sv">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/timing_engine.sv">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/util_reg.sv">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/top.sv">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/ip/width_converter/width_converter.xci">
|
|
<FileInfo>
|
|
<Attr Name="AutoDisabled" Val="1"/>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/ip/data_fifo/data_fifo.xci">
|
|
<FileInfo>
|
|
<Attr Name="AutoDisabled" Val="1"/>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/ip/clock_converter/clock_converter.xci">
|
|
<FileInfo>
|
|
<Attr Name="AutoDisabled" Val="1"/>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/hdl/waveform_gen.sv">
|
|
<FileInfo>
|
|
<Attr Name="AutoDisabled" Val="1"/>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<Config>
|
|
<Option Name="DesignMode" Val="RTL"/>
|
|
<Option Name="TopModule" Val="top"/>
|
|
<Option Name="TopAutoSet" Val="TRUE"/>
|
|
<Option Name="dataflowViewerSettings" Val="min_width=16"/>
|
|
</Config>
|
|
</FileSet>
|
|
<FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1">
|
|
<Filter Type="Constrs"/>
|
|
<File Path="$PSRCDIR/constrs_1/new/constraints.xdc">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<Config>
|
|
<Option Name="TargetConstrsFile" Val="$PSRCDIR/constrs_1/new/constraints.xdc"/>
|
|
<Option Name="ConstrsType" Val="XDC"/>
|
|
</Config>
|
|
</FileSet>
|
|
<FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1">
|
|
<Filter Type="Srcs"/>
|
|
<File Path="$PSRCDIR/sources_1/sim/tb_timing_engine.sv">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<File Path="$PSRCDIR/sources_1/sim/tb_gen_ofdm.sv">
|
|
<FileInfo>
|
|
<Attr Name="AutoDisabled" Val="1"/>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<Config>
|
|
<Option Name="DesignMode" Val="RTL"/>
|
|
<Option Name="TopModule" Val="testbench"/>
|
|
<Option Name="TopLib" Val="xil_defaultlib"/>
|
|
<Option Name="TransportPathDelay" Val="0"/>
|
|
<Option Name="TransportIntDelay" Val="0"/>
|
|
<Option Name="SelectedSimModel" Val="rtl"/>
|
|
<Option Name="PamDesignTestbench" Val=""/>
|
|
<Option Name="PamDutBypassFile" Val="xil_dut_bypass"/>
|
|
<Option Name="PamSignalDriverFile" Val="xil_bypass_driver"/>
|
|
<Option Name="PamPseudoTop" Val="pseudo_tb"/>
|
|
<Option Name="SrcSet" Val="sources_1"/>
|
|
</Config>
|
|
</FileSet>
|
|
<FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1">
|
|
<Filter Type="Utils"/>
|
|
<File Path="$PSRCDIR/set_build_date.tcl">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
<Attr Name="UsedInSteps" Val="synth_1;SYNTH_DESIGN;TCL.PRE"/>
|
|
</FileInfo>
|
|
</File>
|
|
<Config>
|
|
<Option Name="TopAutoSet" Val="TRUE"/>
|
|
</Config>
|
|
</FileSet>
|
|
<FileSet Name="axis_switch_0" Type="BlockSrcs" RelSrcDir="$PSRCDIR/axis_switch_0" RelGenDir="$PGENDIR/axis_switch_0">
|
|
<File Path="$PSRCDIR/sources_1/ip/axis_switch_0/axis_switch_0.xci">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<Config>
|
|
<Option Name="TopModule" Val="axis_switch_0"/>
|
|
<Option Name="dataflowViewerSettings" Val="min_width=16"/>
|
|
<Option Name="UseBlackboxStub" Val="1"/>
|
|
</Config>
|
|
</FileSet>
|
|
<FileSet Name="hdr_mem" Type="BlockSrcs" RelSrcDir="$PSRCDIR/hdr_mem" RelGenDir="$PGENDIR/hdr_mem">
|
|
<File Path="$PSRCDIR/sources_1/ip/hdr_mem/hdr_mem.xci">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<Config>
|
|
<Option Name="TopModule" Val="hdr_mem"/>
|
|
<Option Name="dataflowViewerSettings" Val="min_width=16"/>
|
|
<Option Name="UseBlackboxStub" Val="1"/>
|
|
</Config>
|
|
</FileSet>
|
|
<FileSet Name="dig_rx_clock_converter" Type="BlockSrcs" RelSrcDir="$PSRCDIR/dig_rx_clock_converter" RelGenDir="$PGENDIR/dig_rx_clock_converter">
|
|
<File Path="$PSRCDIR/sources_1/ip/dig_rx_clock_converter/dig_rx_clock_converter.xci">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<Config>
|
|
<Option Name="TopModule" Val="dig_rx_clock_converter"/>
|
|
<Option Name="dataflowViewerSettings" Val="min_width=16"/>
|
|
<Option Name="UseBlackboxStub" Val="1"/>
|
|
</Config>
|
|
</FileSet>
|
|
<FileSet Name="dig_rx_dwidth_converter" Type="BlockSrcs" RelSrcDir="$PSRCDIR/dig_rx_dwidth_converter" RelGenDir="$PGENDIR/dig_rx_dwidth_converter">
|
|
<File Path="$PSRCDIR/sources_1/ip/dig_rx_dwidth_converter/dig_rx_dwidth_converter.xci">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<Config>
|
|
<Option Name="TopModule" Val="dig_rx_dwidth_converter"/>
|
|
<Option Name="dataflowViewerSettings" Val="min_width=16"/>
|
|
<Option Name="UseBlackboxStub" Val="1"/>
|
|
</Config>
|
|
</FileSet>
|
|
<FileSet Name="pulse_buffer_fifo" Type="BlockSrcs" RelSrcDir="$PSRCDIR/pulse_buffer_fifo" RelGenDir="$PGENDIR/pulse_buffer_fifo">
|
|
<File Path="$PSRCDIR/sources_1/ip/pulse_buffer_fifo/pulse_buffer_fifo.xci">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<Config>
|
|
<Option Name="TopModule" Val="pulse_buffer_fifo"/>
|
|
<Option Name="dataflowViewerSettings" Val="min_width=16"/>
|
|
<Option Name="UseBlackboxStub" Val="1"/>
|
|
</Config>
|
|
</FileSet>
|
|
<FileSet Name="eth_xcvr_gt_channel" Type="BlockSrcs" RelSrcDir="$PSRCDIR/eth_xcvr_gt_channel" RelGenDir="$PGENDIR/eth_xcvr_gt_channel">
|
|
<File Path="$PSRCDIR/sources_1/ip/eth_xcvr_gt_channel/eth_xcvr_gt_channel.xci">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<Config>
|
|
<Option Name="TopModule" Val="eth_xcvr_gt_channel"/>
|
|
<Option Name="dataflowViewerSettings" Val="min_width=16"/>
|
|
<Option Name="UseBlackboxStub" Val="1"/>
|
|
</Config>
|
|
</FileSet>
|
|
<FileSet Name="eth_xcvr_gt_full" Type="BlockSrcs" RelSrcDir="$PSRCDIR/eth_xcvr_gt_full" RelGenDir="$PGENDIR/eth_xcvr_gt_full">
|
|
<File Path="$PSRCDIR/sources_1/ip/eth_xcvr_gt_full/eth_xcvr_gt_full.xci">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<Config>
|
|
<Option Name="TopModule" Val="eth_xcvr_gt_full"/>
|
|
<Option Name="dataflowViewerSettings" Val="min_width=16"/>
|
|
<Option Name="UseBlackboxStub" Val="1"/>
|
|
</Config>
|
|
</FileSet>
|
|
<FileSet Name="wf_memory" Type="BlockSrcs" RelSrcDir="$PSRCDIR/wf_memory" RelGenDir="$PGENDIR/wf_memory">
|
|
<File Path="$PSRCDIR/sources_1/ip/wf_memory/wf_memory.xci">
|
|
<FileInfo>
|
|
<Attr Name="AutoDisabled" Val="1"/>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<Config>
|
|
<Option Name="TopModule" Val="wf_memory"/>
|
|
<Option Name="dataflowViewerSettings" Val="min_width=16"/>
|
|
<Option Name="UseBlackboxStub" Val="1"/>
|
|
</Config>
|
|
</FileSet>
|
|
<FileSet Name="hdr_fifo" Type="BlockSrcs" RelSrcDir="$PSRCDIR/hdr_fifo" RelGenDir="$PGENDIR/hdr_fifo">
|
|
<File Path="$PSRCDIR/sources_1/ip/hdr_fifo/hdr_fifo.xci">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<Config>
|
|
<Option Name="TopModule" Val="hdr_fifo"/>
|
|
<Option Name="dataflowViewerSettings" Val="min_width=16"/>
|
|
<Option Name="UseBlackboxStub" Val="1"/>
|
|
</Config>
|
|
</FileSet>
|
|
<FileSet Name="pulse_buffer_204B_fifo" Type="BlockSrcs" RelSrcDir="$PSRCDIR/pulse_buffer_204B_fifo" RelGenDir="$PGENDIR/pulse_buffer_204B_fifo">
|
|
<File Path="$PSRCDIR/sources_1/ip/pulse_buffer_204B_fifo/pulse_buffer_204B_fifo.xci">
|
|
<FileInfo>
|
|
<Attr Name="AutoDisabled" Val="1"/>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<Config>
|
|
<Option Name="TopModule" Val="pulse_buffer_204B_fifo"/>
|
|
<Option Name="dataflowViewerSettings" Val="min_width=16"/>
|
|
<Option Name="UseBlackboxStub" Val="1"/>
|
|
</Config>
|
|
</FileSet>
|
|
<FileSet Name="microblaze_bd" Type="BlockSrcs" RelSrcDir="$PSRCDIR/microblaze_bd" RelGenDir="$PGENDIR/microblaze_bd">
|
|
<File Path="$PSRCDIR/sources_1/bd/microblaze_bd/microblaze_bd.bd">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<Config>
|
|
<Option Name="TopModule" Val="microblaze_bd"/>
|
|
<Option Name="dataflowViewerSettings" Val="min_width=16"/>
|
|
<Option Name="UseBlackboxStub" Val="1"/>
|
|
</Config>
|
|
</FileSet>
|
|
<FileSet Name="jesd_core_clk_wiz" Type="BlockSrcs" RelSrcDir="$PSRCDIR/jesd_core_clk_wiz" RelGenDir="$PGENDIR/jesd_core_clk_wiz">
|
|
<File Path="$PSRCDIR/sources_1/ip/jesd_core_clk_wiz/jesd_core_clk_wiz.xci">
|
|
<FileInfo>
|
|
<Attr Name="AutoDisabled" Val="1"/>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<Config>
|
|
<Option Name="TopModule" Val="jesd_core_clk_wiz"/>
|
|
<Option Name="dataflowViewerSettings" Val="min_width=16"/>
|
|
<Option Name="UseBlackboxStub" Val="1"/>
|
|
</Config>
|
|
</FileSet>
|
|
<FileSet Name="decimation_bd" Type="BlockSrcs" RelSrcDir="$PSRCDIR/decimation_bd" RelGenDir="$PGENDIR/decimation_bd">
|
|
<File Path="$PSRCDIR/sources_1/bd/decimation_bd/decimation_bd.bd">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<Config>
|
|
<Option Name="TopModule" Val="decimation_bd"/>
|
|
<Option Name="dataflowViewerSettings" Val="min_width=16"/>
|
|
<Option Name="UseBlackboxStub" Val="1"/>
|
|
</Config>
|
|
</FileSet>
|
|
<FileSet Name="wfg_cordic" Type="BlockSrcs" RelSrcDir="$PSRCDIR/wfg_cordic" RelGenDir="$PGENDIR/wfg_cordic">
|
|
<File Path="$PSRCDIR/sources_1/ip/wfg_cordic/wfg_cordic.xci">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<Config>
|
|
<Option Name="TopModule" Val="wfg_cordic"/>
|
|
<Option Name="dataflowViewerSettings" Val="min_width=16"/>
|
|
<Option Name="UseBlackboxStub" Val="1"/>
|
|
</Config>
|
|
</FileSet>
|
|
<FileSet Name="axi_vip_0" Type="BlockSrcs" RelSrcDir="$PSRCDIR/axi_vip_0" RelGenDir="$PGENDIR/axi_vip_0">
|
|
<File Path="$PSRCDIR/sources_1/ip/axi_vip_0/axi_vip_0.xci">
|
|
<FileInfo>
|
|
<Attr Name="AutoDisabled" Val="1"/>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<Config>
|
|
<Option Name="TopModule" Val="axi_vip_0"/>
|
|
<Option Name="dataflowViewerSettings" Val="min_width=16"/>
|
|
<Option Name="UseBlackboxStub" Val="1"/>
|
|
</Config>
|
|
</FileSet>
|
|
<FileSet Name="ofdm_sequence_ram" Type="BlockSrcs" RelSrcDir="$PSRCDIR/ofdm_sequence_ram" RelGenDir="$PGENDIR/ofdm_sequence_ram">
|
|
<File Path="$PSRCDIR/sources_1/ip/ofdm_sequence_ram/ofdm_sequence_ram.xci">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<Config>
|
|
<Option Name="TopModule" Val="ofdm_sequence_ram"/>
|
|
<Option Name="dataflowViewerSettings" Val="min_width=16"/>
|
|
<Option Name="UseBlackboxStub" Val="1"/>
|
|
</Config>
|
|
</FileSet>
|
|
<FileSet Name="freq_mult" Type="BlockSrcs" RelSrcDir="$PSRCDIR/freq_mult" RelGenDir="$PGENDIR/freq_mult">
|
|
<File Path="$PSRCDIR/sources_1/ip/freq_mult/freq_mult.xci">
|
|
<FileInfo>
|
|
<Attr Name="UsedIn" Val="synthesis"/>
|
|
<Attr Name="UsedIn" Val="implementation"/>
|
|
<Attr Name="UsedIn" Val="simulation"/>
|
|
</FileInfo>
|
|
</File>
|
|
<Config>
|
|
<Option Name="TopModule" Val="freq_mult"/>
|
|
<Option Name="dataflowViewerSettings" Val="min_width=16"/>
|
|
<Option Name="UseBlackboxStub" Val="1"/>
|
|
</Config>
|
|
</FileSet>
|
|
</FileSets>
|
|
<Simulators>
|
|
<Simulator Name="XSim">
|
|
<Option Name="Description" Val="Vivado Simulator"/>
|
|
<Option Name="CompiledLib" Val="0"/>
|
|
</Simulator>
|
|
<Simulator Name="ModelSim">
|
|
<Option Name="Description" Val="ModelSim Simulator"/>
|
|
</Simulator>
|
|
<Simulator Name="Questa">
|
|
<Option Name="Description" Val="Questa Advanced Simulator"/>
|
|
</Simulator>
|
|
<Simulator Name="Xcelium">
|
|
<Option Name="Description" Val="Xcelium Parallel Simulator"/>
|
|
</Simulator>
|
|
<Simulator Name="VCS">
|
|
<Option Name="Description" Val="Verilog Compiler Simulator (VCS)"/>
|
|
</Simulator>
|
|
<Simulator Name="Riviera">
|
|
<Option Name="Description" Val="Riviera-PRO Simulator"/>
|
|
</Simulator>
|
|
</Simulators>
|
|
<Runs Version="1" Minor="19">
|
|
<Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xcku040-ffva1156-2-i" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2022"/>
|
|
<Step Id="synth_design" PreStepTclHook="$PSRCDIR/set_build_date.tcl"/>
|
|
</Strategy>
|
|
<GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
|
|
<ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="axis_switch_0_synth_1" Type="Ft3:Synth" SrcSet="axis_switch_0" Part="xcku040-ffva1156-2-i" ConstrsSet="axis_switch_0" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/axis_switch_0_synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/axis_switch_0_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/axis_switch_0_synth_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2022"/>
|
|
<Step Id="synth_design"/>
|
|
</Strategy>
|
|
<GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
|
|
<ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="hdr_mem_synth_1" Type="Ft3:Synth" SrcSet="hdr_mem" Part="xcku040-ffva1156-2-i" ConstrsSet="hdr_mem" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/hdr_mem_synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/hdr_mem_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/hdr_mem_synth_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2022"/>
|
|
<Step Id="synth_design"/>
|
|
</Strategy>
|
|
<GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
|
|
<ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="dig_rx_clock_converter_synth_1" Type="Ft3:Synth" SrcSet="dig_rx_clock_converter" Part="xcku040-ffva1156-2-i" ConstrsSet="dig_rx_clock_converter" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/dig_rx_clock_converter_synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/dig_rx_clock_converter_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/dig_rx_clock_converter_synth_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2022"/>
|
|
<Step Id="synth_design"/>
|
|
</Strategy>
|
|
<GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
|
|
<ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="dig_rx_dwidth_converter_synth_1" Type="Ft3:Synth" SrcSet="dig_rx_dwidth_converter" Part="xcku040-ffva1156-2-i" ConstrsSet="dig_rx_dwidth_converter" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/dig_rx_dwidth_converter_synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/dig_rx_dwidth_converter_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/dig_rx_dwidth_converter_synth_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2022"/>
|
|
<Step Id="synth_design"/>
|
|
</Strategy>
|
|
<GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
|
|
<ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="pulse_buffer_fifo_synth_1" Type="Ft3:Synth" SrcSet="pulse_buffer_fifo" Part="xcku040-ffva1156-2-i" ConstrsSet="pulse_buffer_fifo" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/pulse_buffer_fifo_synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/pulse_buffer_fifo_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/pulse_buffer_fifo_synth_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2022"/>
|
|
<Step Id="synth_design"/>
|
|
</Strategy>
|
|
<GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
|
|
<ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="eth_xcvr_gt_channel_synth_1" Type="Ft3:Synth" SrcSet="eth_xcvr_gt_channel" Part="xcku040-ffva1156-2-i" ConstrsSet="eth_xcvr_gt_channel" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/eth_xcvr_gt_channel_synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/eth_xcvr_gt_channel_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/eth_xcvr_gt_channel_synth_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2022"/>
|
|
<Step Id="synth_design"/>
|
|
</Strategy>
|
|
<GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
|
|
<ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="eth_xcvr_gt_full_synth_1" Type="Ft3:Synth" SrcSet="eth_xcvr_gt_full" Part="xcku040-ffva1156-2-i" ConstrsSet="eth_xcvr_gt_full" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/eth_xcvr_gt_full_synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/eth_xcvr_gt_full_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/eth_xcvr_gt_full_synth_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2022"/>
|
|
<Step Id="synth_design"/>
|
|
</Strategy>
|
|
<GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
|
|
<ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="wf_memory_synth_1" Type="Ft3:Synth" SrcSet="wf_memory" Part="xcku040-ffva1156-2-i" ConstrsSet="wf_memory" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/wf_memory_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/wf_memory_synth_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2022"/>
|
|
<Step Id="synth_design"/>
|
|
</Strategy>
|
|
<ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="hdr_fifo_synth_1" Type="Ft3:Synth" SrcSet="hdr_fifo" Part="xcku040-ffva1156-2-i" ConstrsSet="hdr_fifo" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/hdr_fifo_synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/hdr_fifo_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/hdr_fifo_synth_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2022"/>
|
|
<Step Id="synth_design"/>
|
|
</Strategy>
|
|
<GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
|
|
<ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="pulse_buffer_204B_fifo_synth_1" Type="Ft3:Synth" SrcSet="pulse_buffer_204B_fifo" Part="xcku040-ffva1156-2-i" ConstrsSet="pulse_buffer_204B_fifo" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/pulse_buffer_204B_fifo_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/pulse_buffer_204B_fifo_synth_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2022"/>
|
|
<Step Id="synth_design"/>
|
|
</Strategy>
|
|
<ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="microblaze_bd_synth_1" Type="Ft3:Synth" SrcSet="microblaze_bd" Part="xcku040-ffva1156-2-i" ConstrsSet="microblaze_bd" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/microblaze_bd_synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/microblaze_bd_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/microblaze_bd_synth_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2022"/>
|
|
<Step Id="synth_design"/>
|
|
</Strategy>
|
|
<GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
|
|
<ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="jesd_core_clk_wiz_synth_1" Type="Ft3:Synth" SrcSet="jesd_core_clk_wiz" Part="xcku040-ffva1156-2-i" ConstrsSet="jesd_core_clk_wiz" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/jesd_core_clk_wiz_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/jesd_core_clk_wiz_synth_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2022"/>
|
|
<Step Id="synth_design"/>
|
|
</Strategy>
|
|
<ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="decimation_bd_synth_1" Type="Ft3:Synth" SrcSet="decimation_bd" Part="xcku040-ffva1156-2-i" ConstrsSet="decimation_bd" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/decimation_bd_synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/decimation_bd_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/decimation_bd_synth_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2022"/>
|
|
<Step Id="synth_design"/>
|
|
</Strategy>
|
|
<GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
|
|
<ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="wfg_cordic_synth_1" Type="Ft3:Synth" SrcSet="wfg_cordic" Part="xcku040-ffva1156-2-i" ConstrsSet="wfg_cordic" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/wfg_cordic_synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/wfg_cordic_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/wfg_cordic_synth_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2022"/>
|
|
<Step Id="synth_design"/>
|
|
</Strategy>
|
|
<GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
|
|
<ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="axi_vip_0_synth_1" Type="Ft3:Synth" SrcSet="axi_vip_0" Part="xcku040-ffva1156-2-i" ConstrsSet="axi_vip_0" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/axi_vip_0_synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/axi_vip_0_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/axi_vip_0_synth_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2022"/>
|
|
<Step Id="synth_design"/>
|
|
</Strategy>
|
|
<GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
|
|
<ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="ofdm_sequence_ram_synth_1" Type="Ft3:Synth" SrcSet="ofdm_sequence_ram" Part="xcku040-ffva1156-2-i" ConstrsSet="ofdm_sequence_ram" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/ofdm_sequence_ram_synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/ofdm_sequence_ram_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/ofdm_sequence_ram_synth_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2022"/>
|
|
<Step Id="synth_design"/>
|
|
</Strategy>
|
|
<GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
|
|
<ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="freq_mult_synth_1" Type="Ft3:Synth" SrcSet="freq_mult" Part="xcku040-ffva1156-2-i" ConstrsSet="freq_mult" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/freq_mult_synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/freq_mult_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/freq_mult_synth_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2022"/>
|
|
<Step Id="synth_design"/>
|
|
</Strategy>
|
|
<GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
|
|
<ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="impl_1" Type="Ft2:EntireDesign" Part="xcku040-ffva1156-2-i" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2022"/>
|
|
<Step Id="init_design"/>
|
|
<Step Id="opt_design"/>
|
|
<Step Id="power_opt_design"/>
|
|
<Step Id="place_design"/>
|
|
<Step Id="post_place_power_opt_design"/>
|
|
<Step Id="phys_opt_design"/>
|
|
<Step Id="route_design"/>
|
|
<Step Id="post_route_phys_opt_design"/>
|
|
<Step Id="write_bitstream"/>
|
|
</Strategy>
|
|
<GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
|
|
<ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="axis_switch_0_impl_1" Type="Ft2:EntireDesign" Part="xcku040-ffva1156-2-i" ConstrsSet="axis_switch_0" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="axis_switch_0_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/axis_switch_0_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/axis_switch_0_impl_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2022"/>
|
|
<Step Id="init_design"/>
|
|
<Step Id="opt_design"/>
|
|
<Step Id="power_opt_design"/>
|
|
<Step Id="place_design"/>
|
|
<Step Id="post_place_power_opt_design"/>
|
|
<Step Id="phys_opt_design"/>
|
|
<Step Id="route_design"/>
|
|
<Step Id="post_route_phys_opt_design"/>
|
|
<Step Id="write_bitstream"/>
|
|
</Strategy>
|
|
<ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="hdr_mem_impl_1" Type="Ft2:EntireDesign" Part="xcku040-ffva1156-2-i" ConstrsSet="hdr_mem" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="hdr_mem_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/hdr_mem_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/hdr_mem_impl_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2022"/>
|
|
<Step Id="init_design"/>
|
|
<Step Id="opt_design"/>
|
|
<Step Id="power_opt_design"/>
|
|
<Step Id="place_design"/>
|
|
<Step Id="post_place_power_opt_design"/>
|
|
<Step Id="phys_opt_design"/>
|
|
<Step Id="route_design"/>
|
|
<Step Id="post_route_phys_opt_design"/>
|
|
<Step Id="write_bitstream"/>
|
|
</Strategy>
|
|
<ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="dig_rx_clock_converter_impl_1" Type="Ft2:EntireDesign" Part="xcku040-ffva1156-2-i" ConstrsSet="dig_rx_clock_converter" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="dig_rx_clock_converter_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/dig_rx_clock_converter_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/dig_rx_clock_converter_impl_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2022"/>
|
|
<Step Id="init_design"/>
|
|
<Step Id="opt_design"/>
|
|
<Step Id="power_opt_design"/>
|
|
<Step Id="place_design"/>
|
|
<Step Id="post_place_power_opt_design"/>
|
|
<Step Id="phys_opt_design"/>
|
|
<Step Id="route_design"/>
|
|
<Step Id="post_route_phys_opt_design"/>
|
|
<Step Id="write_bitstream"/>
|
|
</Strategy>
|
|
<ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="dig_rx_dwidth_converter_impl_1" Type="Ft2:EntireDesign" Part="xcku040-ffva1156-2-i" ConstrsSet="dig_rx_dwidth_converter" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="dig_rx_dwidth_converter_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/dig_rx_dwidth_converter_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/dig_rx_dwidth_converter_impl_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2022"/>
|
|
<Step Id="init_design"/>
|
|
<Step Id="opt_design"/>
|
|
<Step Id="power_opt_design"/>
|
|
<Step Id="place_design"/>
|
|
<Step Id="post_place_power_opt_design"/>
|
|
<Step Id="phys_opt_design"/>
|
|
<Step Id="route_design"/>
|
|
<Step Id="post_route_phys_opt_design"/>
|
|
<Step Id="write_bitstream"/>
|
|
</Strategy>
|
|
<ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="pulse_buffer_fifo_impl_1" Type="Ft2:EntireDesign" Part="xcku040-ffva1156-2-i" ConstrsSet="pulse_buffer_fifo" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="pulse_buffer_fifo_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/pulse_buffer_fifo_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/pulse_buffer_fifo_impl_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2022"/>
|
|
<Step Id="init_design"/>
|
|
<Step Id="opt_design"/>
|
|
<Step Id="power_opt_design"/>
|
|
<Step Id="place_design"/>
|
|
<Step Id="post_place_power_opt_design"/>
|
|
<Step Id="phys_opt_design"/>
|
|
<Step Id="route_design"/>
|
|
<Step Id="post_route_phys_opt_design"/>
|
|
<Step Id="write_bitstream"/>
|
|
</Strategy>
|
|
<ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="eth_xcvr_gt_channel_impl_1" Type="Ft2:EntireDesign" Part="xcku040-ffva1156-2-i" ConstrsSet="eth_xcvr_gt_channel" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="eth_xcvr_gt_channel_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/eth_xcvr_gt_channel_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/eth_xcvr_gt_channel_impl_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2022"/>
|
|
<Step Id="init_design"/>
|
|
<Step Id="opt_design"/>
|
|
<Step Id="power_opt_design"/>
|
|
<Step Id="place_design"/>
|
|
<Step Id="post_place_power_opt_design"/>
|
|
<Step Id="phys_opt_design"/>
|
|
<Step Id="route_design"/>
|
|
<Step Id="post_route_phys_opt_design"/>
|
|
<Step Id="write_bitstream"/>
|
|
</Strategy>
|
|
<ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="eth_xcvr_gt_full_impl_1" Type="Ft2:EntireDesign" Part="xcku040-ffva1156-2-i" ConstrsSet="eth_xcvr_gt_full" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="eth_xcvr_gt_full_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/eth_xcvr_gt_full_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/eth_xcvr_gt_full_impl_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2022"/>
|
|
<Step Id="init_design"/>
|
|
<Step Id="opt_design"/>
|
|
<Step Id="power_opt_design"/>
|
|
<Step Id="place_design"/>
|
|
<Step Id="post_place_power_opt_design"/>
|
|
<Step Id="phys_opt_design"/>
|
|
<Step Id="route_design"/>
|
|
<Step Id="post_route_phys_opt_design"/>
|
|
<Step Id="write_bitstream"/>
|
|
</Strategy>
|
|
<ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="wf_memory_impl_1" Type="Ft2:EntireDesign" Part="xcku040-ffva1156-2-i" ConstrsSet="wf_memory" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="wf_memory_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/wf_memory_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/wf_memory_impl_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2022"/>
|
|
<Step Id="init_design"/>
|
|
<Step Id="opt_design"/>
|
|
<Step Id="power_opt_design"/>
|
|
<Step Id="place_design"/>
|
|
<Step Id="post_place_power_opt_design"/>
|
|
<Step Id="phys_opt_design"/>
|
|
<Step Id="route_design"/>
|
|
<Step Id="post_route_phys_opt_design"/>
|
|
<Step Id="write_bitstream"/>
|
|
</Strategy>
|
|
<ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="hdr_fifo_impl_1" Type="Ft2:EntireDesign" Part="xcku040-ffva1156-2-i" ConstrsSet="hdr_fifo" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="hdr_fifo_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/hdr_fifo_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/hdr_fifo_impl_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2022"/>
|
|
<Step Id="init_design"/>
|
|
<Step Id="opt_design"/>
|
|
<Step Id="power_opt_design"/>
|
|
<Step Id="place_design"/>
|
|
<Step Id="post_place_power_opt_design"/>
|
|
<Step Id="phys_opt_design"/>
|
|
<Step Id="route_design"/>
|
|
<Step Id="post_route_phys_opt_design"/>
|
|
<Step Id="write_bitstream"/>
|
|
</Strategy>
|
|
<ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="pulse_buffer_204B_fifo_impl_1" Type="Ft2:EntireDesign" Part="xcku040-ffva1156-2-i" ConstrsSet="pulse_buffer_204B_fifo" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="pulse_buffer_204B_fifo_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/pulse_buffer_204B_fifo_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/pulse_buffer_204B_fifo_impl_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2022"/>
|
|
<Step Id="init_design"/>
|
|
<Step Id="opt_design"/>
|
|
<Step Id="power_opt_design"/>
|
|
<Step Id="place_design"/>
|
|
<Step Id="post_place_power_opt_design"/>
|
|
<Step Id="phys_opt_design"/>
|
|
<Step Id="route_design"/>
|
|
<Step Id="post_route_phys_opt_design"/>
|
|
<Step Id="write_bitstream"/>
|
|
</Strategy>
|
|
<ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="microblaze_bd_impl_1" Type="Ft2:EntireDesign" Part="xcku040-ffva1156-2-i" ConstrsSet="microblaze_bd" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="microblaze_bd_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/microblaze_bd_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/microblaze_bd_impl_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2022"/>
|
|
<Step Id="init_design"/>
|
|
<Step Id="opt_design"/>
|
|
<Step Id="power_opt_design"/>
|
|
<Step Id="place_design"/>
|
|
<Step Id="post_place_power_opt_design"/>
|
|
<Step Id="phys_opt_design"/>
|
|
<Step Id="route_design"/>
|
|
<Step Id="post_route_phys_opt_design"/>
|
|
<Step Id="write_bitstream"/>
|
|
</Strategy>
|
|
<ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="jesd_core_clk_wiz_impl_1" Type="Ft2:EntireDesign" Part="xcku040-ffva1156-2-i" ConstrsSet="jesd_core_clk_wiz" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="jesd_core_clk_wiz_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/jesd_core_clk_wiz_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/jesd_core_clk_wiz_impl_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2022"/>
|
|
<Step Id="init_design"/>
|
|
<Step Id="opt_design"/>
|
|
<Step Id="power_opt_design"/>
|
|
<Step Id="place_design"/>
|
|
<Step Id="post_place_power_opt_design"/>
|
|
<Step Id="phys_opt_design"/>
|
|
<Step Id="route_design"/>
|
|
<Step Id="post_route_phys_opt_design"/>
|
|
<Step Id="write_bitstream"/>
|
|
</Strategy>
|
|
<ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="decimation_bd_impl_1" Type="Ft2:EntireDesign" Part="xcku040-ffva1156-2-i" ConstrsSet="decimation_bd" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="decimation_bd_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/decimation_bd_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/decimation_bd_impl_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2022"/>
|
|
<Step Id="init_design"/>
|
|
<Step Id="opt_design"/>
|
|
<Step Id="power_opt_design"/>
|
|
<Step Id="place_design"/>
|
|
<Step Id="post_place_power_opt_design"/>
|
|
<Step Id="phys_opt_design"/>
|
|
<Step Id="route_design"/>
|
|
<Step Id="post_route_phys_opt_design"/>
|
|
<Step Id="write_bitstream"/>
|
|
</Strategy>
|
|
<ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="wfg_cordic_impl_1" Type="Ft2:EntireDesign" Part="xcku040-ffva1156-2-i" ConstrsSet="wfg_cordic" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="wfg_cordic_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/wfg_cordic_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/wfg_cordic_impl_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2022"/>
|
|
<Step Id="init_design"/>
|
|
<Step Id="opt_design"/>
|
|
<Step Id="power_opt_design"/>
|
|
<Step Id="place_design"/>
|
|
<Step Id="post_place_power_opt_design"/>
|
|
<Step Id="phys_opt_design"/>
|
|
<Step Id="route_design"/>
|
|
<Step Id="post_route_phys_opt_design"/>
|
|
<Step Id="write_bitstream"/>
|
|
</Strategy>
|
|
<ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="axi_vip_0_impl_1" Type="Ft2:EntireDesign" Part="xcku040-ffva1156-2-i" ConstrsSet="axi_vip_0" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="axi_vip_0_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/axi_vip_0_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/axi_vip_0_impl_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2022"/>
|
|
<Step Id="init_design"/>
|
|
<Step Id="opt_design"/>
|
|
<Step Id="power_opt_design"/>
|
|
<Step Id="place_design"/>
|
|
<Step Id="post_place_power_opt_design"/>
|
|
<Step Id="phys_opt_design"/>
|
|
<Step Id="route_design"/>
|
|
<Step Id="post_route_phys_opt_design"/>
|
|
<Step Id="write_bitstream"/>
|
|
</Strategy>
|
|
<ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="ofdm_sequence_ram_impl_1" Type="Ft2:EntireDesign" Part="xcku040-ffva1156-2-i" ConstrsSet="ofdm_sequence_ram" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="ofdm_sequence_ram_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/ofdm_sequence_ram_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/ofdm_sequence_ram_impl_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2022"/>
|
|
<Step Id="init_design"/>
|
|
<Step Id="opt_design"/>
|
|
<Step Id="power_opt_design"/>
|
|
<Step Id="place_design"/>
|
|
<Step Id="post_place_power_opt_design"/>
|
|
<Step Id="phys_opt_design"/>
|
|
<Step Id="route_design"/>
|
|
<Step Id="post_route_phys_opt_design"/>
|
|
<Step Id="write_bitstream"/>
|
|
</Strategy>
|
|
<ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
<Run Id="freq_mult_impl_1" Type="Ft2:EntireDesign" Part="xcku040-ffva1156-2-i" ConstrsSet="freq_mult" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="freq_mult_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/freq_mult_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/freq_mult_impl_1">
|
|
<Strategy Version="1" Minor="2">
|
|
<StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2022"/>
|
|
<Step Id="init_design"/>
|
|
<Step Id="opt_design"/>
|
|
<Step Id="power_opt_design"/>
|
|
<Step Id="place_design"/>
|
|
<Step Id="post_place_power_opt_design"/>
|
|
<Step Id="phys_opt_design"/>
|
|
<Step Id="route_design"/>
|
|
<Step Id="post_route_phys_opt_design"/>
|
|
<Step Id="write_bitstream"/>
|
|
</Strategy>
|
|
<ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2022"/>
|
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
|
<RQSFiles/>
|
|
</Run>
|
|
</Runs>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="1"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="10"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="11"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="12"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="13"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="14"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="15"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="16"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="17"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="18"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="19"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="2"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="20"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="21"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="22"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="23"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="24"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="25"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="26"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="27"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="28"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="29"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="3"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="30"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="31"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="32"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="33"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="34"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="35"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="36"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="37"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="38"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="39"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="4"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="40"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="41"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="42"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="43"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="44"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="45"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="46"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="47"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="48"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="49"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="5"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="50"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="51"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="52"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="53"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="54"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="55"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="56"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="57"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="58"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="59"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="6"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="60"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="61"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="62"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1271]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="7"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="8"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<MsgRule>
|
|
<MsgAttr Name="RuleType" Val="0"/>
|
|
<MsgAttr Name="Limit" Val="-1"/>
|
|
<MsgAttr Name="NewSeverity" Val="ANY"/>
|
|
<MsgAttr Name="Id" Val="[BD 41-1306]"/>
|
|
<MsgAttr Name="Severity" Val="ANY"/>
|
|
<MsgAttr Name="ShowRule" Val="1"/>
|
|
<MsgAttr Name="RuleSource" Val="2"/>
|
|
<MsgAttr Name="StringIsRegExp" Val="0"/>
|
|
<MsgAttr Name="RuleId" Val="9"/>
|
|
<MsgAttr Name="Note" Val=""/>
|
|
<MsgAttr Name="Author" Val=""/>
|
|
<MsgAttr Name="CreatedTimestamp" Val=""/>
|
|
</MsgRule>
|
|
<Board/>
|
|
<DashboardSummary Version="1" Minor="0">
|
|
<Dashboards>
|
|
<Dashboard Name="default_dashboard">
|
|
<Gadgets>
|
|
<Gadget Name="drc_1" Type="drc" Version="1" Row="2" Column="0">
|
|
<GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_drc_0 "/>
|
|
</Gadget>
|
|
<Gadget Name="methodology_1" Type="methodology" Version="1" Row="2" Column="1">
|
|
<GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_methodology_0 "/>
|
|
</Gadget>
|
|
<Gadget Name="power_1" Type="power" Version="1" Row="1" Column="0">
|
|
<GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_power_0 "/>
|
|
</Gadget>
|
|
<Gadget Name="timing_1" Type="timing" Version="1" Row="0" Column="1">
|
|
<GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_timing_summary_0 "/>
|
|
</Gadget>
|
|
<Gadget Name="utilization_1" Type="utilization" Version="1" Row="0" Column="0">
|
|
<GadgetParam Name="REPORTS" Type="string_list" Value="synth_1#synth_1_synth_report_utilization_0 "/>
|
|
<GadgetParam Name="RUN.STEP" Type="string" Value="synth_design"/>
|
|
<GadgetParam Name="RUN.TYPE" Type="string" Value="synthesis"/>
|
|
</Gadget>
|
|
<Gadget Name="utilization_2" Type="utilization" Version="1" Row="1" Column="1">
|
|
<GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_place_report_utilization_0 "/>
|
|
</Gadget>
|
|
</Gadgets>
|
|
</Dashboard>
|
|
<CurrentDashboard>default_dashboard</CurrentDashboard>
|
|
</Dashboards>
|
|
</DashboardSummary>
|
|
</Project>
|